Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

ModelSim doesn't launch in VM

Altera_Forum
Honored Contributor II
1,282 Views

Hello! I've installed Quartus II v 13.1 along with ModelSim in a virtual machine with Windows XP SP3 (I'm using VirtualBox) and I can't launch ModelSim. I see the opening blue image with the version as if the program was starting but it never does. Even if I try to open ModelSim directly it doesn't ork. Did anyone have face this problem before? I have the following configuration on Tools > Options > EDA Tools Options: 

 

http://www.alteraforum.com/forum/attachment.php?attachmentid=12858&stc=1
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
556 Views

Seems odd you're running it on XP SP3 when Q13.1 supports windows 7 and linux.  

This might be a question to ask Altera as I doubt many people have used this configuration.
0 Kudos
Reply