Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16593 Discussions

ModelSim - vector input not showing the correct binary

LChow3
Novice
2,873 Views

Please see the attached file. It's a 10's complement for 4-bit BCD.

I have a 4-bit vector input, and 4-bit vector output. But, the vector input is not showing the correct binary number. It shows all 0000, although the waveform show the correct input that I key in.

How to display the correct vector input in this "Wave" window?

0 Kudos
13 Replies
sstrell
Honored Contributor III
2,792 Views

There's something wrong with that group in the Wave view. As you can see in the Objects pane, D is correct there. Remove what you have in the Wave view and then click and drag D from the Objects pane to the Wave view.

 

#iwork4intel

0 Kudos
LChow3
Novice
2,792 Views

Thanks for suggestion.

First, I couldn't load / open the wave that I saved yesterday. How do I open the wave (those inputs signals that I put in earlier)?

I have saved the wave in .do file.

0 Kudos
LChow3
Novice
2,792 Views

If I delete D, drag D from Object pane to Wave window, I couldn't key in the D binary values.

0 Kudos
RichardTanSY_Intel
2,792 Views

Do you mean to provide input stimulus? If so, you can right click the D in the wave window, select force and insert value. Then run simulation.

Insert one by one will become a tedious job, instead you can insert example line in the transcript.

VSIM> force -deposit /D 2#0, 2#1 20, 2#0 40, 2#1 60, 2#1 80

VSIM> run 200 ns

 

the line change D to binary value 0 (2#0) starting from time instant now. Then, 20 ns later, change the input to 1 (2#1 20).

0 Kudos
LChow3
Novice
2,792 Views

I can key in the input correctly. I also can get the output as expected.

My question is actually the display (circle in red) is not showing the input that I keyed in. Please see attached again.

No matter what input that I keyed in, the first row (circle in red) is always showing 0000

 

Thank you.

0 Kudos
RichardTanSY_Intel
2,792 Views

Could you help to share your project so I can look into it?

0 Kudos
LChow3
Novice
2,792 Views

Certainly.

In ModelSim, I suppose my project is called addern_sim.mpf

In Quartus Prime, my project is called addern

 

In ModelSim, I try to add 1011 and 1001.

Thank you.

0 Kudos
RichardTanSY_Intel
2,792 Views

I recommend to start a new project and key in the same input again. It seems that the Edit/: wave group from wave.do could be what's left from the last project.

Simulate the addern in work project, insert command [add wave *], provide the input and run the simulation.

0 Kudos
LChow3
Novice
2,792 Views

I don't understand how to put the wave group. Here attached of how I key in the input.

0 Kudos
RichardTanSY_Intel
2,792 Views

Now I get what you mean by that. It seems that the input only responds to the most significant bit with the remaining bits being 0.

Not sure why the input display does not match. Might need to ask Mentor on this.

If you simulate the waveform, I believe the input will display correctly.

 

You can simulate by right click the input waveform that you want to simulate e.g. X , Y.

Go to Edit > Waveform Editor > Export Waveform. Save as force file e.g wave.do

Start simulate the addern.v file. [ vsim work.addern ]

Insert command [ add wave * ]

Insert command [ do wave.do ]

Insert command [ run -all ]

0 Kudos
RichardTanSY_Intel
2,792 Views

Still, I suggest that you write a testbench that applies constant inputs to the signals you want to observe.

0 Kudos
RichardTanSY_Intel
2,792 Views

Insert "do example.do " in the modelsim transcript.

0 Kudos
LChow3
Novice
2,792 Views
0 Kudos
Reply