Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16597 Discussions

Need help to get Cyclone IV.gdz file for Quartus II 15.0 version

Ayush_Sharma
Beginner
565 Views

Hi,

I want to compile a project designed in Quartus II, 15.0 version, I got the software dump but I am missing CycloneIV.qdz file, because of that reason the device is getting automatically selected to different family.

 

Could anyone please help me to get the Cyclone IV.gdz file for Quartus II 15.0 version

 

I have tried searching in forum and got to know that 15.0 is not available in web but if I can find the Cyclone IV.gdz file I will able to compile the project.  

 

Thanks With Regards,

Ayush Sharma

0 Kudos
4 Replies
RichardTanSY_Intel
555 Views

To requests for legacy software, a case/ticket need to be submitted to our engineering team.

I can request this for you but need confirmation from you that a valid NDA exists between Intel and the customer.

Once approved, you will have access to download the Quartus software version along with the device .qdz file.

Let me know if a NDA exist, then I will send you an email to get the required info.


Best Regards,

Richard Tan



0 Kudos
RichardTanSY_Intel
555 Views

If there is no NDA signed, please contact your local Intel sales representative or distributors (e.g. Arrow, Macnica) to sign a new NDA and they will help you to request the specific software as well.

 https://marketplace.intel.com/s/pmp-partner-program/a723b0000008PICAA2/distributor?language=en_US


Best Regards,

Richard Tan


0 Kudos
RichardTanSY_Intel
525 Views

Any update on this?


Best Regards,

Richard Tan


p.s. Please do expert delay in response due to lunar new year. 


0 Kudos
RichardTanSY_Intel
483 Views

As we do not receive any response from you on the previous question/reply/answer that we have provided. I now transition this thread to community support. Please login to https://supporttickets.intel.com, view details of the desire request, and post a feed/response within the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you on your follow-up questions.


Thank you.


Best Regards,

Richard Tan


p/s: If any answer from the community or Intel Support are helpful, please feel free to give best answer or rate 4/5 survey.


0 Kudos
Reply