Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16593 Discussions

No effect after programming CycloneIV

Altera_Forum
Honored Contributor II
937 Views

Hi everybody, I have got problem with my DE-0 nano board with Cyclone IV. When I am trying do use my really simple controller written in VHDL there is no effect in the system (no flashing diodes- nothing) when I add a simple connection switch withe the led just connection the input with output via wire in bdf, there are also no any efect what is realy strange in my oppinion.Has anybody obtain such effect if yes, please help me I. Thanks a lot.

0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
239 Views

 

--- Quote Start ---  

Hi everybody, I have got problem with my DE-0 nano board with Cyclone IV. When I am trying do use my really simple controller written in VHDL there is no effect in the system (no flashing diodes- nothing) when I add a simple connection switch withe the led just connection the input with output via wire in bdf, there are also no any efect what is realy strange in my oppinion.Has anybody obtain such effect if yes, please help me I. Thanks a lot. 

--- Quote End ---  

 

 

Have you provided the correct pin assignments to Quartus?  

 

Cheers, 

Dave
0 Kudos
Reply