Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16596 Discussions

Platform Designer(旧Qsys)でHDLを生成し、QIPファイルを登録すると最初に生成したHDLがCompileに使われます。しかし、QSYSファイルを登録すると、Compileするたびにdb/ipディレクトリ以下にHDLを再生成し、そのHDLをCompileしているようです。QSYSファイルを登録してもQIPファイルを登録した時と同じように最初に生成したHDLをCompileするように設定できないのでしょうか?

NSuzu3
Beginner
1,170 Views
 
0 Kudos
1 Solution
Kenny_Tan
Moderator
694 Views
Are you using Quartus Pro 18.1? There is an option in assignment -> settings -> IP settings -> IP regeneration Policy. If it does not work, means you have to used back the QIP in order to have not regenerated.

View solution in original post

5 Replies
Kenny_Tan
Moderator
694 Views
Hi, Can you help to translate it to English? Thanks
0 Kudos
NSuzu3
Beginner
694 Views

HDL originally generated the platform Designer (formerly Qsys) generates HDL and to register the QIP file used to Compile. However, each time you Compile and register the QSYS file in the db / ip directory HDL to regenerate, it seems that Compile the HDL. Cannot be set to Compile the same as when you create a QIP file to register the QSYS file generated in the first HDL?

0 Kudos
NSuzu3
Beginner
694 Views

​I'm sorry. I will re-translate and post.

 

When generate HDLs with Platform Designer and add the QIP file to the project, 

the first generated HDLs are used for compile. 

 

However, when add the QSYS file to the project, 

HDLs are re-generated below the db/ip directory and compiled every time.

 

Can I prevent it from regenerating HDLs and use the first generated HDLs, 

even if add the QSYS file to the project ?

0 Kudos
Kenny_Tan
Moderator
695 Views
Are you using Quartus Pro 18.1? There is an option in assignment -> settings -> IP settings -> IP regeneration Policy. If it does not work, means you have to used back the QIP in order to have not regenerated.
NSuzu3
Beginner
694 Views

Thank you for your comments.

Unfortunately, I use Quartus Prime Standard Edition.

So, "IP Regeneration Policy" is fixed to "Always regenerate design files for IP cores".​

(can not select "Never regenerate design files for IP cores")

I understand that I have to use QIP file instead of QSYS file.

Thank you so much.​

0 Kudos
Reply