Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16608 Discussions

Please help me open this file in Quartus 2 12.1

Altera_Forum
Honored Contributor II
1,118 Views

Hi, the university is closed and I prepared this project there but it is not opening when I open it at home. I am sure my Quartus at home is an advanced version of what I have at university. I have attached the project as a zip file and will really appreciate if someone could change the version somehow or do what is required to open this project in my 12.1 version. Many thanks

0 Kudos
8 Replies
Altera_Forum
Honored Contributor II
377 Views

You are a star. Thanks :)

0 Kudos
Altera_Forum
Honored Contributor II
377 Views

While I am on it. Can you help me learn how to simulate this design without any hardware. I would like to know how to go about it in Quartus. I have never done it before. Thanks again.

0 Kudos
Altera_Forum
Honored Contributor II
377 Views

 

--- Quote Start ---  

While I am on it. Can you help me learn how to simulate this design without any hardware. I would like to know how to go about it in Quartus. I have never done it before. Thanks again. 

--- Quote End ---  

 

 

If you want proper simulation use modelsim. New Quartus versions do not have internal simulator(quartus 9.1 does).  

Quartus simulator does not accept testbench style coding (only synthesis style code but you can fool it using synthesisable testbench. You can drive stimuli directly on waveforms or through this wrapper testbench). 

Modelsim offers much better simulation e.g. reading from files or writing to files as well as driving stimuli from testbench in nonsynthesisable code freely. 

 

You will need to choose between them two first and dive in then the forum may help with some specific issues.
0 Kudos
Altera_Forum
Honored Contributor II
377 Views

Quartus simulator is very handy. You compile(two options, proper compilation or functional) then simulate directly choosing timing or functional simulation at a click and is very intuitive especially with understanding delay, multicycle etc. 

Its only limitations are driving inputs and checking outputs. Use a wrapper to put logic on your inputs and observe your outputs visually or automate output checks within your wrapper.
0 Kudos
Altera_Forum
Honored Contributor II
377 Views

I do not know what is test bench style and synthesis style. I am at a very early stage currently. We are learning how to use gates and stuff. So I guess anything will go for now, but of course I would like to develop my understanding based on a long term useful platform. I will go for modelsim. I tried to download modelsim, but it seems it is a part of the design suit which I installed already but i cannot find anything named Modelsim in start menu>altera. 

 

I tried to click on Tools>Run RTL simulation and also Tools> Gate level simulation BUT both options say EDA simulations tool not specified. Any pointers from here???
0 Kudos
Altera_Forum
Honored Contributor II
377 Views

seems we posted at the same time. I just read your most recent post. Could you please point me to the option for the Quartus Simulator you mentioned in your post please.

0 Kudos
Altera_Forum
Honored Contributor II
377 Views

 

--- Quote Start ---  

I do not know what is test bench style and synthesis style. I am at a very early stage currently. We are learning how to use gates and stuff. So I guess anything will go for now, but of course I would like to develop my understanding based on a long term useful platform. I will go for modelsim. I tried to download modelsim, but it seems it is a part of the design suit which I installed already but i cannot find anything named Modelsim in start menu>altera. 

 

I tried to click on Tools>Run RTL simulation and also Tools> Gate level simulation BUT both options say EDA simulations tool not specified. Any pointers from here??? 

--- Quote End ---  

 

 

Below are my views, not necessarily correct: 

 

For a beginner Quartus simulator is a luxury and you don't need a separate project to simulate it. Moreover you don't need to know about non-synthesisable coding.  

 

Basically synthesisable coding is a subset of VHDL that is translated to hardware. Nonsynthesisable coding is that running on your pc doing that administrative tasks e.g. reading/writing files, or huge arrays with no worry about fitting...etc. You may even code a food recipe... all to inject stimuli into the synthesisable darling part which will target a device and sit there flashing leds and more. 

 

To run modelsim, you can either run it from within Quartus or you can run it completely independant. 

Here you got another two options then. I normally run Modelsim on its own. Because I need proper testbench wrapper which quartus will not support(so it gets same limitations as quartus simulator right from start - I believe) 

If you choose to run modelsim from quartus then first go to assignments => eda tool settings => simulation then choose modelsim 

Then you compile and then go to run eda simulation. 

 

Commercially, Modelsim is a specialised simulation tool with many features. Owned by Mentor 

Quartus and ISE ...etc are purely synthesis tools targetting specific devices with that extra baby simulator tool and owned by fpga vendors. 

 

I hope I haven't confused you.
0 Kudos
Altera_Forum
Honored Contributor II
377 Views

Download older quartus versions e.g.9.1(because I did that few days ago). It has a button right to comple button with rectangular waves. click on it... 

Before that you need to compile first then open new file(waveform) put the nodes and save(add to project) and go from there.
0 Kudos
Reply