Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16610 Discussions

Problem with Quartus Prime 20.3/20.2 on Cyclone 10GX Missing 2.5/3.0V IO Standard in pin planer

lkarp1
Novice
558 Views

Hello,
I am building design where I need 3.0V TTL signals to connect to processor.

Problem is Quartus Pin planner does not even have option to select 3.0V LVCMOS or LVTTL. While I can do it by hand in Asigment Editor.

BUT !

If Its shows up in pin planner, I just have to touch IO standard field, and it will be placed as blank.

Some One who was rewriting Quartus Prime forgot to add 2.5V and 3.0V IO standards for selection. It is incredible that this bug can be passed without correcting.

INTEL please fix it ASAP because this is major bug. I can't make my design if i can't select 3.0V IO standard. and also contact me in PM, since i was unable to find how to generate technical support ticket.

https://www.youtube.com/watch?v=SZB_Sr0ukNs

0 Kudos
3 Replies
sstrell
Honored Contributor III
541 Views

According to figure 67 and table 36, your 10CX105 only supports 3 V in I/O bank 2L, not in 3A or 3B like you show in your video.  Table 33 shows that 3 V is only allowed in the 3 V I/O not the LVDS I/O.

https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/hb/cyclone-10/c10gx-51003.pdf

lkarp1
Novice
534 Views

Hello, Thank you for correct response.
Well, tats is  very disappointing...

That is big problem for me. I was used to that I can connect IO bank too any voltage and get same CMOS voltage out of it. But what kind of limitation does GX part has ? This is not a problem for regular Cyclone 10 LP for same footprint and cell size.

Usually true LVDS banks are missing and 3V CMOS are abundant , but I see now that with this chip, is other way around. all FPGA's can only have 48x 3V capable pins.

Now just have to figure out how to make this work.

Thank you for support!

0 Kudos
EngWei_O_Intel
Employee
522 Views

Hi Linas

Since the question has been addressed, I now transition this thread to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.

Eng Wei

0 Kudos
Reply