Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16557 Discussions

Problem with Signal Tap Logic Analyzer

MSenk1
Beginner
847 Views

Hi,

I'm using Quartus 21.2.

When I use the signal tap logic analyser I get a crash.

The FPGA works fine with the loaded content. But the logic analyser crashes with this message:

Problem Details
Error:
Internal Error: Sub-system: CHI, File: /quartus/sld/chi/chi_aji_hierarchical_access.cpp, Line: 491
Can't operate on nodes that haven't been opened.
Stack Trace:
Quartus 0x1deaf: CHI_AJI_HIERARCHICAL_ACCESS::lock_node + 0x2f (sld_chi)
Quartus 0x8188: CHI_CONTROL_NODE2::batch_access + 0x28 (sld_chi)
Quartus 0xa1bf: CHI_CONTROL_NODE_STP_V6::get_node_crc_value + 0x1af (sld_chi)
Quartus 0x14d1b: CHI_CONTROL_NODES_SCAN::scan_device + 0x52b (sld_chi)
Quartus 0x146dd: CHI_CONTROL_NODES_SCAN::rescan + 0xd (sld_chi)
Quartus 0xcea81: SEDQ_INSTANCE_WIDGET::on_update + 0x3d1 (sld_sedq)
Quartus 0x2013b6: QObject::event + 0x146 (Qt5Core)
Quartus 0x3a0f4: QWidget::event + 0xf34 (Qt5Widgets)
Quartus 0xdbc76: QFrame::event + 0x36 (Qt5Widgets)
Quartus 0x68c6c: SEDQ_INSTANCE_WIDGET::event + 0x4c (sld_sedq)
Quartus 0x1700c: QApplicationPrivate::notify_helper + 0x13c (Qt5Widgets)
Quartus 0x14fae: QApplication::notify + 0xa6e (Qt5Widgets)
Quartus 0x1d9b88: QCoreApplication::notifyInternal2 + 0xb8 (Qt5Core)
Quartus 0x1dc1e8: QCoreApplicationPrivate::sendPostedEvents + 0x228 (Qt5Core)
Quartus 0x5999e: qt_plugin_query_metadata + 0x1e8e (qwindows)
Quartus 0x226eb6: QEventDispatcherWin32::processEvents + 0x66 (Qt5Core)
Quartus 0x59978: qt_plugin_query_metadata + 0x1e68 (qwindows)
Quartus 0x1d59b0: QEventLoop::exec + 0x1a0 (Qt5Core)
Quartus 0x1d887a: QCoreApplication::exec + 0x14a (Qt5Core)
Quartus 0x10c8: qgq_main + 0x88 (qpro)
Quartus 0x190b8: msg_main_thread + 0x18 (CCL_MSG)
Quartus 0x19781: msg_thread_wrapper + 0x71 (CCL_MSG)
Quartus 0x22dc0: mem_thread_wrapper + 0x70 (ccl_mem)
Quartus 0x1734d: msg_exe_main + 0x20d (CCL_MSG)
Quartus 0x20d6: WinMain + 0x156 (qpro)
Quartus 0x16e2: __scrt_common_main_seh + 0x116 (qpro)
Quartus 0x17033: BaseThreadInitThunk + 0x13 (KERNEL32)
Quartus 0x52650: RtlUserThreadStart + 0x20 (ntdll)

End-trace

 

The programmer worked successfully.

I tried several simple 2 signal logic analyser, that does not change anything.

With Quartus 21.1 I had no problem.

What is wrong?

regards 

Michael

 

0 Kudos
5 Replies
RichardTanSY_Intel
830 Views

Hi @MSenk1 

 

I haven't seen this internal error(IE) before. Could you help to share your design .qar file that could duplicate this error?  As without duplication of the error from our side, it would be hard to find a workaround/solution. 

* If your design is confidential, please help to create a simplified design that can duplicate the IE. 

Please help to provide any specific steps on when this IE occurs, does it crash when you try to open the Signal Tap tool or running the tool ? 

Please expect that any work involving engineering will take some-time (a few days to a few weeks) depending on the complexity of the issue.

If your project is urgent, I would recommend to use Quartus 21.1 as a temporary workaround. 

Best Regards,
Richard Tan

0 Kudos
MSenk1
Beginner
811 Views

Hi Richard,

 

we were able to solve this issue internally.

 

Thanks

Michael

0 Kudos
RichardTanSY_Intel
798 Views

Hi @MSenk1 

 

Glad to know that you are able to proceed without any trouble. 

Do you mind to share what you have done and how to resolve the error? 

This may be helpful to those who come across similar issue.  


Best Regards,
Richard Tan

p/s: If any answer from the community or Intel support are helpful, please feel free to give Kudos.

0 Kudos
RichardTanSY_Intel
784 Views

Hi @MSenk1 ,


Since you have solved the issue, I will now transition this thread to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.

Best Regards,
Richard Tan

p/s: If any answer from the community or Intel support are helpful, please feel free to give Kudos. 

0 Kudos
dlevit
Beginner
392 Views

For anybody wondering about the solution, this is what helped me to solve the problem with the same error message:

 

1. Disconnect hardware

2. Launch signaltap

3. Press "Setup..." button

4. Connect hardware

5. Once hardware appears in the window, reduce JTAG frequency

0 Kudos
Reply