Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16821 Discussions

Product Enhancement Suggestion

TuckerZ
New Contributor I
645 Views

Hello, 

 

I would really like to see Quartus implement all of the "selection statement" functionality described in IEEE 1800-2017, Section 12.5. I tried to use a case-inside statement and Quartus does not support that feature of the language. 

 

Thanks,

Tucker Zischka

0 Kudos
6 Replies
SyafieqS
Employee
591 Views

Hi Tucker,


Thank you for the feedback. I will file a enhancement to the developer regarding this.

May I know if it is possible to attach small test case in qar for this cases?


0 Kudos
TuckerZ
New Contributor I
586 Views

Hello, 

 

What do you mean by a test case in qar for this cases? 

 

Thanks, 

Tucker Z

0 Kudos
SyafieqS
Employee
575 Views

I mean a small design that replicable to be used as test case showing the unsupported feature


0 Kudos
TuckerZ
New Contributor I
573 Views

Here is a snippet of code: 

 

package command_pkg;

typedef enum logic [2:0] {
    op1,
    op2,
    op3,
    op4,
    op5,
     op_null
} operation_t;
end package

module command
    import command_pkg::*;
    (
        input wire [7:0] cmd_decoded, 
        output operation_t command_details
    ); 
     always_comb begin
        case (cmd_decoded) inside
                8'b????1101:
                    command_details = op1;
                8'b????1001:
                    command_details = op2;
                8'b????1110:
                    command_details = op3;
                8'b00000000:
                    command_details = op4;
                8'b????0110:
                    command_details = op5;
                8'b????0111:
                    command_details = op_null;
                8'b????0100:
                    command_details = op_null;
                default: begin
                    command_details = op_null;
                end
        endcase
    end
endmodule
0 Kudos
SyafieqS
Employee
515 Views

Tucker,


Thanks a lot this should be sufficient and I will forward this to the developer.

Let me know if there is any more concern on this.

We appreciate your valuable feedback.


0 Kudos
SyafieqS
Employee
464 Views

As we do not receive any response from you on the previous question/reply/answer that we have provided. Please login to ‘https://supporttickets.intel.com’, view details of the desire request, and post a feed/response within the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you on your follow-up questions.


p/s: If any answer from community or Intel support are helpful, please feel free to mark as solution, give Kudos and rate 10/10 survey


0 Kudos
Reply