Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

Quartus 16.1 and Win7-64

Altera_Forum
Honored Contributor II
1,499 Views

While compiling a project on Windows 7 64-bit I get an exception with the following details 

 

--- Quote Start ---  

 

problem details 

error: 

Internal Error: Sub-system: ATCL, File: /quartus/ccl/atcl/atcl_root.cpp, Line: 986 

------------------------------------- 

Error found while sourcing: c:/intelfpga_lite/16.1/quartus/common/tcl/internal/init/fitter.pkg_info 

------------------------------------- 

ERROR: Can't load library: c:\intelfpga_lite\16.1\quartus\bin64\comp_fit2.dll. The operating system reports the following error: %1 is not a valid Win32 application. 

 

 

Stack Trace: 

0x46ad: err_report_internal_error + 0x2d (CCL_ERR) 

0xe828: atcl_initialize_pkg_info + 0x448 (ccl_atcl) 

0xf40a: atcl_initialize_quartus_interpreter + 0x9a (ccl_atcl) 

0xe1c2: atcl_get_interpreter + 0x42 (ccl_atcl) 

0x2de52: ACF_MANAGER_STORAGE_REAL::ACF_MANAGER_STORAGE_REAL + 0x5d2 (db_acf) 

0x26e7e: ACF_MANAGER::internal_open_create_project + 0xa9e (db_acf) 

0x28984: ACF_MANAGER::open_project + 0x7b4 (db_acf) 

0x685ef: QHD_ROOT_IMPL::open_acf_if_needed + 0xbf (comp_qhd) 

0x679be: QHD_ROOT_IMPL::initialize + 0x11e (comp_qhd) 

0x37204: qcu_run_tcl_option + 0x3d4 (comp_qcu) 

0x160aa: qexe_run + 0x39a (comp_qexe) 

0x16e51: qexe_standard_main + 0xc1 (comp_qexe) 

0x2233: qfit2_main + 0x73 (quartus_fit) 

0x13328: msg_main_thread + 0x18 (CCL_MSG) 

0x14b0e: msg_thread_wrapper + 0x6e (CCL_MSG) 

0x15b00: mem_thread_wrapper + 0x70 (ccl_mem) 

0x12a11: msg_exe_main + 0xa1 (CCL_MSG) 

0x287e: __tmainCRTStartup + 0x10e (quartus_fit) 

0x1652c: BaseThreadInitThunk + 0xc (kernel32) 

0x2c520: RtlUserThreadStart + 0x20 (ntdll) 

 

End-trace 

 

 

executable: quartus 

comment: 

None 

 

system information 

platform: windows64 

os name: Windows 7 

os version: 6.1 

 

quartus prime information 

address bits: 64 

version: 16.1.0 

build: 196 

edition: Lite Edition 

 

--- Quote End ---  

 

Is there any fix for the problem?
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
349 Views

Its a crash - you will have to raise a mysupport ticket with altera.

0 Kudos
Altera_Forum
Honored Contributor II
349 Views

 

--- Quote Start ---  

Its a crash - you will have to raise a mysupport ticket with altera. 

--- Quote End ---  

 

If change to Windows 7 32-bit - would it fix the problem?
0 Kudos
Altera_Forum
Honored Contributor II
349 Views

No - Quartus from v15 onwards only supports 64bit OSs 

You will need to raise a mysupport ticket.
0 Kudos
Reply