Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16608 Discussions

Quartus 22 - University Program VWF - Ouputs stays to an undefined state

rva1311
Beginner
1,426 Views

Hi,

 

I try to use Quartus 22.1 on Linux for the target 5CSEBA6U23I7.

 

Simulations work when I use Tool > Run Simulation Tool > RTL Simulation.

 

But when I want to simulate a University Program VWF, outputs stays to undefined on the window which popups at the end of the simulation.

 

Is it a common issue ?

 

Best regards

 

 

0 Kudos
10 Replies
IntelSupport
Community Manager
1,385 Views

Have you established any output in the waveform window?

You may need to add node for output using node finder by right click --> insert node or bus --> choose your input/output

Any snapshot on the issue maybe if possible? 


0 Kudos
rva1311
Beginner
1,366 Views

Yes I had added each input/output before to launch the simulation. The output stays just to undefined.

 

 

Capture d’écran de 2023-03-07 14-57-48.jpg

0 Kudos
sstrell
Honored Contributor III
1,354 Views

Without seeing the design, there's no way to know what's going on here.  Can you provide the code?

0 Kudos
rva1311
Beginner
1,340 Views

Here the used code.

library ieee;

use ieee.std_logic_1164.all;

entity pmu is
	port(
		clk : in std_logic;
		rst : out std_logic
	);
end pmu;

architecture rtl of pmu is

begin

	rst <= not clk; 

end rtl;

 Thank you for your help.

0 Kudos
IntelSupport
Community Manager
1,332 Views

Hi Remy,


From the snippet code given, the output of the rst signal will stay at an undefined state during because it is being driven by a combinational logic circuit that depends on the clk input signal.


To fix this issue, you can use an initial value for the rst signal in the architecture. For example, you can add a default initial value of '0' to the rst signal declaration, like this:


entity pmu is

port(

clk : in std_logic;

rst : out std_logic := '0' -- add default initial value

);

end pmu;


architecture rtl of pmu is

begin

rst <= not clk; 

end rtl;


This will ensure that the rst signal is initialized to a known value at the beginning of the simulation, preventing it from being in an undefined state.


p/s: If any answer from the community or Intel Support are helpful, please feel free mark and solution, give kudos and rate 5/5 survey.



0 Kudos
rva1311
Beginner
1,325 Views

As I said in my first message:

 

"Simulations work when I use Tool > Run Simulation Tool > RTL Simulation. The issue appears only when I use University Program VWF, outputs stays to undefined on the window which popups at the end of the simulation."

 

So I have already verified if it is a VHDL issue and it is not. For example, even if I put:

architecture rtl of pmu is

begin

	rst <= '1'; 

end rtl;

this changes nothing...

 

For me, it is a tool issue. It seems there is a communication issue between the simulator and the viewer.

 

I just want to know if it is a known issue and if there is a solution.

 

Thank you for your help.

0 Kudos
Azimath
Beginner
1,281 Views

Hello. I have the exactly same problem with vwf simulation in quartus 22.1 on linux.
The output stay X after I "Run a functional simulation".
Note that i remove the -novopt option in order to make it run without error. i don't know whether this matter or not to the stay X problem.

note that in 2nd image i send. That the right window's "Run Functional Simulation" gray out. Indicate that is simulation result.

0 Kudos
IntelSupport
Community Manager
1,260 Views

Hi Remy,


Seem there is issue with VWF tool, I will report this to developer for fix. 

Is using Modelsim simulation work for you? 


0 Kudos
Azimath
Beginner
1,259 Views

Yes, I think it works fine.

0 Kudos
IntelSupport
Community Manager
1,243 Views

Glad that is working fine. Let me know if there is any other concern


0 Kudos
Reply