Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Quartus II 13.1 and Modelsim-Altera hangs on Windows 10

DrewBaden
Beginner
1,654 Views

I run Modelsim-Altera from inside Quartus. Modelsim fires up and seems to run fine.  The transcript file looks ok.  The program just hangs, and I never get it back to the point where I can specify what waves to watch, etc.

 

Any help? I'm not even sure where to look to figure this out!

 

# Reading D:/Altera/13.1/modelsim_ase/tcl/vsim/pref.tcl 

# do top_run_msim_rtl_verilog.do 

# if {[file exists rtl_work]} {

# vdel -lib rtl_work -all

# }

# vlib rtl_work

# vmap work rtl_work

# Modifying D:\Altera\13.1\modelsim_ase\win32aloem/../modelsim.ini

# vlog -vlog01compat -work work +incdir+C:/Users/drew/rpi_de3_vC {C:/Users/drew/rpi_de3_vC/uart_tx.v}

# Model Technology ModelSim ALTERA vlog 10.1d Compiler 2012.11 Nov 2 2012

# -- Compiling module uart_tx

# Top level modules:

# uart_tx

# vlog -vlog01compat -work work +incdir+C:/Users/drew/rpi_de3_vC {C:/Users/drew/rpi_de3_vC/uart_rx.v}

# Model Technology ModelSim ALTERA vlog 10.1d Compiler 2012.11 Nov 2 2012

# -- Compiling module uart_rx

# Top level modules:

# uart_rx

# vlog -vlog01compat -work work +incdir+C:/Users/drew/rpi_de3_vC {C:/Users/drew/rpi_de3_vC/SerialIO.v}

# Model Technology ModelSim ALTERA vlog 10.1d Compiler 2012.11 Nov 2 2012

# -- Compiling module SerialIO

 

then more of this....

 

# Top level modules:

# gpio_mux

# vlog -vlog01compat -work work +incdir+C:/Users/drew/rpi_de3_vC {C:/Users/drew/rpi_de3_vC/top.v}

# Model Technology ModelSim ALTERA vlog 10.1d Compiler 2012.11 Nov 2 2012

# -- Compiling module top

# Top level modules:

# top

# vsim -t 1ps -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L stratixiii_ver -L rtl_work -L work -voptargs="+acc" top_tb

# vsim -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L stratixiii_ver -L rtl_work -L work -voptargs=\"+acc\" -t 1ps top_tb 

# Loading work.top_tb

# Loading work.top

# Loading work.oneshot_b

# Loading work.uart_slave

# Loading work.SerialIO

# Loading work.uart_tx

# Loading work.uart_rx

# Loading work.dff_latch

# Loading work.hex_to_decimal

# Loading work.display

# Loading work.translate

# Loading work.fifo8bytes

# Loading work.countfifo

# add wave *

# ** Warning: (vsim-WLF-5000) WLF file currently in use: vsim.wlf

#     File in use by: drew Hostname: DESKTOP-NJCK0Q8 ProcessID: 6236

#     Attempting to use alternate WLF file "./wlft9tvmhv".

# ** Warning: (vsim-WLF-5001) Could not open WLF file: vsim.wlf

#     Using alternate file: ./wlft9tvmhv

# view structure

# .main_pane.structure.interior.cs.body.struct

# view signals

# .main_pane.objects.interior.cs.body.tree

# run -all

 

 

0 Kudos
4 Replies
ak6dn
Valued Contributor III
1,323 Views

According to Altera/Intel release notes, v16.0 is the earliest version of Quartus that is officially supported on Windows 10 64b.

So it is not surprising that v13.1 has issues on Windows 10 64b.

I run both v16.0 and v13.0sp1 on Windows 7 64b and either runs just fine with no issues.

0 Kudos
DrewBaden
Beginner
1,323 Views

Oh, that’s bad, I use a Stratix III and the Altera documentation says Quartus II 13.1 is the latest that supports that chip. Does anyone have any suggestions?

 

0 Kudos
DrewBaden
Beginner
1,323 Views

avtually, Quartus 2 13.1 does work ok on Windows 10. I am able to build the code and download I to the chip. So maybe I should just figure out how to download a version of Modelsim that will work, and run it standalone.

 

If if anyone knows of a good tutorial/help for doing these 2 things (how to download and install, and how to configure and run) that would be great.

 

0 Kudos
DrewBaden
Beginner
1,323 Views

When I run Modelsim, it seems to start ok. As in the image. But I can't seem to start the simulation. Actually it's probably more accurate to say that I can't STOP the simulation, as it appears to be running. And "Run" in dropdown menu you get when you hit the "Simulate" button is greyed out. When I hit "Break" it doesn't do anything, just keeps on running. When I look in the Task Manager, it says vish.exe is using 65% of the CPU. I tried to issue a break in the "Simulate" menu, but it didn't do anything. I tried to quit, and it won't quit. I have to kill it with the task manager.

 

This is Modelsim 10.1d. (I know this because I can still go into the HELP menu and click on About!) Does anyone know where I can download maybe another version, slightly newer? Maybe that will work.

 

Screen Shot 2019-07-31 at 3.32.50 PM.png

0 Kudos
Reply