Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16558 Discussions

Quartus II 21.1.0 STD and ILA opened windows freezes GUI on LINUX at end of fitter.

AEsqu
Novice
1,207 Views

When I keep the ILA windows under Linux (redhat) while doing a new full place and route to get new probes, using the Ethernet blaster II, when Quartus has finished the tasks (fitter, ..),

Quartus GUI is not responding, no graphics refresh at all.

I'm obliged to bkill quartus, re-open it and re-open the .stp to capture new traces with the ILA.

Could you check if there is a bug in that area?

Quartus II 21.1.0 STD

Alex.

0 Kudos
18 Replies
RichardTanSY_Intel
1,186 Views

Do you see any error message or Internal Error window pop up? It will be hard to pinpoint the issue that you are facing.


Does you see this issue with a specific design? Does the Quartus tool compile successfully before?


Have you try to run it in a different machine?


Have you check that your OS version support the Quartus software?

https://www.intel.com/content/www/us/en/support/programmable/support-resources/design-software/os-support.html


Best Regards,

Richard Tan



0 Kudos
AEsqu
Novice
1,178 Views

No error message, everything is frozen.

No problem when I close the ILA windows before place and route is over.

Well, If I open the ILA (.stp) after the place and route is over, the busy circle runs forever.

So I can only use the ILA by closing Quartus , re open the project and re open the ILA.

 

0 Kudos
AEsqu
Novice
1,166 Views

I can see that there was a kind of similar issue in the past, not sure if this is still somewhat related or not:

https://www.intel.ca/content/www/ca/en/support/programmable/articles/000079443.html

 

Description Due to a problem in the Quartus® II software version 12.0 SP2 and earlier, the Quartus II GUI may freeze when adding signals in the SignalTap™ II Logic Analyzer if your quartus2.qreg file contains an invalid statement.
Resolution

To work around this problem, open quartus2.qreg and remove any lines that contain the text "Node_finder". The quartus2.qreg file can be found in the following location:

  • Windows 7: C:\users\<username>
  • Windows XP: C:\Documents and Settings\<username>
  • Linux: ~/.altera.quartus 

This problem is fixed beginning with the Quartus II software version 12.1.

 

 

0 Kudos
RichardTanSY_Intel
1,153 Views

Is ILA the Integrated Logic Analyzer from Xilinx?

If so, this is my first time seeing a customer using Quartus with ILA.

Any reason why you want to use ILA instead of our Signal tap logic analyzer?

 

p/s: the KDB is not applicable as the Quartus impacted is old version. 

 

0 Kudos
AEsqu
Novice
1,146 Views

I mean't signal tap (for internal logic analyzer). I did use ILA on Xilinx too for a Xilinx FPGA.

In this case this is an Altera cyclone V FPGA so I use Quartus/signal tap.

 

0 Kudos
RichardTanSY_Intel
1,121 Views

I am quite stump as to why the GUI hang.

Does this issue occur with a specific design?

If run the same design by using different machine (with higher RAM), will the issue re-occur?


0 Kudos
AEsqu
Novice
1,112 Views

We have machines with huge amount of RAM.

The issue happens with big design in the VQM from Synplify.

If the VQM is big but code get's optimized away (tie clk/rst), then Quartus is fine with Signal tap (only top level remains, 5% usage or so of the FPGA).

0 Kudos
AEsqu
Novice
1,103 Views

Edit: I also freeze with a small design when trying to move a waveform or change recompile condition.

I'm wondering if closing the two bottom windows (to gain waveform display space) is not helping reproducing the crash.

bottom_windows_closed.png

0 Kudos
RichardTanSY_Intel
1,079 Views

Hi,


Could you share the design so I could try to duplicate it from my side?

The small design will do.


Best Regards,

Richard Tan



0 Kudos
AEsqu
Novice
1,069 Views

Hi Richard,

I cannot share my design.

Alex.

 

0 Kudos
RichardTanSY_Intel
1,038 Views

I tried on both Window 10 and Linux (RHEL) but unfortunately not able to duplicate the issue, with both Quartus and signal tap GUI open & compile at the same time.


ps: I tried using the simple design example below. Example_ISSP_SignalTap.qar, in case this is design dependent issue and you may try to duplicate from your side.

https://learning.intel.com/developer/learn/course/938/university-self-guided-lab-introduction-to-simulation-and-debug-of-fpgas


Unfortunately without a way to duplicate the issue, I am not able to further debug. Unless you can give me some pointer to duplicate the issue, else we can only hope someone from the community who comes across similar issue may have some answer. 


Best Regards,

Richard Tan


0 Kudos
AEsqu
Novice
1,030 Views

Please try with a big design at your side (that uses at least 70% of the FPGA for example).

I don't have access to your example:

403

FORBIDDEN

You don't have permission to access this page due to system time out or privacy restrictions. Please refresh your browser to confirm log-in credentials. Contact System Administrator if access issues persist.

2022-06-28 13:34:49 | Error 403 | https://learning.intel.com/Developer

 

0 Kudos
AEsqu
Novice
1,011 Views

Does not seems to be downloadable from that place either.

 

0 Kudos
AEsqu
Novice
991 Views

I moved to Quartus 13.1.0162 as I work again on the STRATIX III FPGA.

When I create the .stp and the .sof under Linux with Quartus 13.1

and then loading the .stp and programming the .sof using Quartus Prime 21.1 Standard Edition under Windows 10 ( I use the USB blaster at the moment, so I need to do it locally),

an error crash report windows always popup after loading a second time the .stp (after I modified the .sof/.stp under linux).

I installed Quartus II 13.1 (64-bit) pay edition under windows 10 and then there is no crash anymore.

So the bug lies in the Quartus 21.1 under linux and windows as for the .stp loading area.

 

0 Kudos
RichardTanSY_Intel
959 Views

I try with bigger design but unfortunately not able to duplicate the issue.

Since I am not able to duplicate the issue, there is not much that I can help on this.

Perhaps uninstall and reinstall the Quartus may works.


0 Kudos
RichardTanSY_Intel
951 Views

Since the issue is not duplicable, I will now transition this thread to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.


Best Regards,

Richard Tan


p/s: If any answer from the community or Intel support are helpful, please feel free to give Kudos and select the best solution. 


0 Kudos
AEsqu
Novice
936 Views

Hi,

While scrolling the setup window under red hat linux using the mouse scroll wheel,

Quartus crashed with this error.

This may point to a problem with the stack size in the ILA.

Would it be possbible to provide a way to increase the stack size of Quartus or the jtag client,

or a quartus patch, to try at my side if that solves the issue?

 

*** Fatal Error: Unhandled exception
0x8286: ERR_STACKWALKER::get_stack_trace(void const**, int, int, void*) + 0xd8 (ccl_err)
0xb535: err_terminator() + 0x5a (ccl_err)
0x82ae6: __cxxabiv1::__terminate(void (*)()) + 0x6 (jtag_client)


0xb3ef: err_sigaction_handler + 0x7a (ccl_err)

0x4c4274: QCompleter::popup() const + 0x4 (Qt5Widgets.so.5)


Exiting...

0 Kudos
Reply