Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16557 Discussions

[Quartus & Modelsim-Altera] simulation of altera's megafunction components

Altera_Forum
Honored Contributor II
1,429 Views

I have generated a pll using the Quartus IP-Core. 

 

I have created a simple testbench which includes the pll. 

The project top compiles successfully, However when I launch the RTL simulation tool(Modelsim-Altera) 

the modelsim raise an error  

alt_pll.v(67): Instantiation of 'altpll' failed. The design unit was not found  

 

At the library panel I can see the library called "altera_mf"  

But it seems like modelsim search for libraries only in rtl_work library and not in the pre-compiled libraries of modelsim-altera. 

 

How to set modelsim to load the precompiled libraries ? 

 

Thanks
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
477 Views

Anyone here, could help with a Modelsim installer in a W7? I will need a Sw and craker..... Kind regards,

0 Kudos
Altera_Forum
Honored Contributor II
477 Views

 

--- Quote Start ---  

I have generated a pll using the Quartus IP-Core. 

 

I have created a simple testbench which includes the pll. 

The project top compiles successfully, However when I launch the RTL simulation tool(Modelsim-Altera) 

the modelsim raise an error  

alt_pll.v(67): Instantiation of 'altpll' failed. The design unit was not found  

 

At the library panel I can see the library called "altera_mf"  

But it seems like modelsim search for libraries only in rtl_work library and not in the pre-compiled libraries of modelsim-altera. 

 

How to set modelsim to load the precompiled libraries ? 

 

Thanks 

--- Quote End ---  

 

 

Try adding following to your vsim command "-L altera_mf" or use the Native link simulation to setup simulation 

 

https://www.youtube.com/watch?v=pmvvxqchv2c 

 

Best Regards, 

arslanusman2003 

(This message was posted on behalf of Intel Corporation)
0 Kudos
Reply