Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16596 Discussions

Quartus Prime 20.1 Lite waveform error - modelsim executable not found

DrZ
Novice
9,501 Views

I am running Quartus Prime 20.1.1 Lite edition on Windows 10 and have been stumped by the following error:

I can make a project and a BDF and compile it fine with no errors.

When I use the University Program VWF to run a simulation and enter my pins and appropriate input values, then Run Functional Simulation (or Run Timing Simulation - same error), I get the following error in the process:

 

ModelSim executable not found in C:/intelFPGA_lite/20.1/quartus/common/tcl/internal/nativelink/

Error.

However, when I look in that directory, there are many files, including modelsim.tcl

 

The program was installed with the combined files. I tried looking at some similar issues but didn't find any that matched mine or showed a solution. Am I missing something?

Of special note:  I really only need it to do the waveform output for my purposes. I don't really need to fully prepare the circuit to be put on a device.

 

8 Replies
IntelSupport
Moderator
9,459 Views

Hi Lavi,

I found a similar issue to yours here, you may refer to the URL below:

https://community.intel.com/t5/Intel-Quartus-Prime-Software/Free-starter-version-of-ModelSim-Altera-can-t-be-lauched/td-p/197346

Please let me know if your issue persists.

Regards,

Nurina

0 Kudos
DrZ
Novice
9,427 Views

Sorry for the delay. I only have access to the computer in question every few days.

The suggestions made in that thread you linked did not work.  I tried putting the path in only Modelsim, in only Modelsim-Altera, and in both.

All three gave me the exact same error when running a functional simulation on the waveform: 

Modelsim executable not found in C:/intelFPGA_lite/20.1/quartus/common/tcl/internal/nativelink

Error.

 

The folder in question has modelsim.tcl

0 Kudos
DrZ
Novice
9,426 Views

In case it might help, here is the entire output given when I run the functional simulation:

 

Determining the location of the ModelSim executable...

Using: C:\intelFPGA_lite\20.1\quartus\common\tcl\internal\nativelink\

To specify a ModelSim executable directory, select: Tools -> Options -> EDA Tool Options
Note: if both ModelSim-Altera and ModelSim executables are available, ModelSim-Altera will be used.

**** Generating the ModelSim Testbench ****

quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off andxor -c andxor --vector_source="H:/2021 Spring/ENGR 2206/Quartus/andxor/Waveform.vwf" --testbench_file="H:/2021 Spring/ENGR 2206/Quartus/andxor/simulation/qsim/Waveform.vwf.vt"

Info: *******************************************************************
Info: Running Quartus Prime EDA Netlist Writer
Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
Info: Copyright (C) 2020 Intel Corporation. All rights reserved.
Info: Your use of Intel Corporation's design tools, logic functions
Info: and other software and tools, and any partner logic
Info: functions, and any output files from any of the foregoing
Info: (including device programming or simulation files), and any
Info: associated documentation or information are expressly subject
Info: to the terms and conditions of the Intel Program License
Info: Subscription Agreement, the Intel Quartus Prime License Agreement,
Info: the Intel FPGA IP License Agreement, or other applicable license
Info: agreement, including, without limitation, that your use is for
Info: the sole purpose of programming logic devices manufactured by
Info: Intel and sold by Intel or its authorized distributors. Please
Info: refer to the applicable agreement for further details, at
Info: https://fpgasoftware.intel.com/eula.
Info: Processing started: Tue Mar 23 16:59:21 2021
Info: Command: quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off andxor -c andxor --vector_source="H:/2021 Spring/ENGR 2206/Quartus/andxor/Waveform.vwf" --testbench_file="H:/2021 Spring/ENGR 2206/Quartus/andxor/simulation/qsim/Waveform.vwf.vt"
Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.

Completed successfully.

Completed successfully.

**** Generating the functional simulation netlist ****

quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="H:/2021 Spring/ENGR 2206/Quartus/andxor/simulation/qsim/" andxor -c andxor

Info: *******************************************************************
Info: Running Quartus Prime EDA Netlist Writer
Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
Info: Copyright (C) 2020 Intel Corporation. All rights reserved.
Info: Your use of Intel Corporation's design tools, logic functions
Info: and other software and tools, and any partner logic
Info: functions, and any output files from any of the foregoing
Info: (including device programming or simulation files), and any
Info: associated documentation or information are expressly subject
Info: to the terms and conditions of the Intel Program License
Info: Subscription Agreement, the Intel Quartus Prime License Agreement,
Info: the Intel FPGA IP License Agreement, or other applicable license
Info: agreement, including, without limitation, that your use is for
Info: the sole purpose of programming logic devices manufactured by
Info: Intel and sold by Intel or its authorized distributors. Please
Info: refer to the applicable agreement for further details, at
Info: https://fpgasoftware.intel.com/eula.
Info: Processing started: Tue Mar 23 16:59:23 2021
Info: Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="H:/2021 Spring/ENGR 2206/Quartus/andxor/simulation/qsim/" andxor -c andxor
Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
Info (204019): Generated file andxor.vo in folder "H:/2021 Spring/ENGR 2206/Quartus/andxor/simulation/qsim//" for EDA simulation tool
Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning
Info: Peak virtual memory: 4725 megabytes
Info: Processing ended: Tue Mar 23 16:59:24 2021
Info: Elapsed time: 00:00:01
Info: Total CPU time (on all processors): 00:00:01

Completed successfully.

**** Generating the ModelSim .do script ****

H:/2021 Spring/ENGR 2206/Quartus/andxor/simulation/qsim/andxor.do generated.

Completed successfully.

ModelSim executable not found in C:/intelFPGA_lite/20.1/quartus/common/tcl/internal/nativelink/
Error.

 

 

 

 

I also tried putting the project in a folder without any spaces, but got the same result.

0 Kudos
Nurina
Employee
9,414 Views

Hi Lavi,


In Tools>Options>EDA Options, do you see a path directory being set for both ModelSim-Altera and ModelSim? If so, delete the path directory for ModelSim-Altera.


While doing this, make sure you have ModelSim selected in your EDA settings. To do this, go to Assignments>Settings>EDA Tool Settings>Simulation and verify that ModelSim is selected under tool name.


Let me know if this resolves your issue.


Regards,

Nurina


0 Kudos
DrZ
Novice
9,403 Views

I removed the path directory for ModelSim-Altera.

There was nothing set in  Assignments>Settings>EDA Tool Settings>Simulation

I changed it to Modelsim, recompiled, made a new waveform, and... still the exact same error.

 

Edit:  on a whim, I tried moving the modelsim.tcl to a different folder, in case it was the computer's permissions. Even in a folder I control, it gave the same error (with the new directory).

0 Kudos
Nurina
Employee
9,370 Views

Hi Lavi,

We did not receive any response from you to the previous answer that I have provided, thus I will put this case to close pending. Please post a response in the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you with your follow-up questions.

Regards,
Nurina

PS: If any answer given by the community or Intel Support are helpful, feel free to give Kudos.

0 Kudos
DrZ
Novice
9,356 Views

Hello!

I wanted to thank you for the help, but unfortunately, this problem is now unsolvable. The IT department has not been helpful and all software installations must be done by them, so I am at an impasse.

0 Kudos
Nurina
Employee
9,390 Views

Hi Lavi,


I tried replicating your error with different EDA settings and it seems that you may have had a bad installation. Try reinstalling Quartus and make sure you select ModelSim as well during your installation.


I hope this helps,

Nurina


0 Kudos
Reply