Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16602 Discussions

Quartus Prime not allowing apparently correct I/O assignment

Altera_Forum
Honored Contributor II
2,590 Views

Hi, I'm using Quartus Prime 15.1 and trying to program the DECA evaluation board. I've used a file provided by them to do the pin assignments. I am completely sure that I have used the correct one. When I compile, the Analysis and Synthesis runs just fine. However, the fitter keeps giving the following errors: 

 

Error (169235): I/O bank 8 cannot select VCCIO of 1.2V which is required for pin LED[0] with I/O standard 1.2  

Error (169193): VCCIO 1.2V is not compatible with the VCCIO requirement of the I/O bank 8 imposed by the selected configuration scheme 

 

 

It shows the same thing for LED[1] which I am also using, which connects to a green LED on the board. One possible lead: the device that I select is 10M50DAF484C6GES, but I keep getting the following message: Info (253020): Default device 10M08DAF484C8G is automatically selected for the device family MAX 10. This message displays as soon as the device is selected when creating a new project. 

 

Can anyone explain why this might be happening? Thanks! 

 

Edit: never mind about that default device message, that comes when I select the MAX 10 family prior to selecting the specific device
0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
1,434 Views

This means you have an incompatible set of I/O standards connected to bank 8. You need to consider what other I/O is connected to bank I/O and ensure they are all 1.2V I/O standards. 

 

I appreciate you state you've used a set of pin assignments provided by Arrow. However, there is clearly something wrong with it - these example files have been known to be wrong in the past. Post your project's .qsf file here and we can have a look. 

 

Cheers, 

Alex
0 Kudos
Altera_Forum
Honored Contributor II
1,434 Views

Hi a_x_h_75, 

 

so i can use different standards as long as they are all signed with the same VCCIO, right? 

 

Greets 

Jérôme
0 Kudos
Altera_Forum
Honored Contributor II
1,434 Views

Yes. You can use different I/O standards as long as they're all 1.2V. 

 

Cheers, 

Alex
0 Kudos
Altera_Forum
Honored Contributor II
1,434 Views

Hi all, 

 

I have encountered the same error today. I should start out by saying that Arrow's Tcl script works as intended, there is an additional important step in the tutorial I was following.  

For your config file to match the hardware of the DECA board you have to change a few more options.  

 

Go To: Assignments -> Device -> Device and Pin Options -> General 

 

There UNTICK "Enable nCONFIG, NSTATUS, and CONF_DONE pins" 

 

This will allow you to set the bank 8 I/Os to 1,2V. 

 

 

For the DECA board you should also UNTICK the rest of the config options int the same window: 

Auto-restart configuration after error 

Release clears before tri-states 

Enable device-wide reset (DEV_CLRn) 

Enable device-wide output enable (DEV_OE) 

Enable nCONFIG, nSTATUS, and CONF_DONE pins 

Enable JTAG pin sharing 

Enable CONFIG_SEL pin 

 

Leave "Auto usercode" enabled
0 Kudos
Reply