Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16597 Discussions

Quartus Timing Analyzer and Constraints...

BTayl147
Beginner
1,513 Views

I realize this is a lot to ask however, I'm not understanding completely how to constrain this project. Attached is a simplified version of my project. It uses an address bus, data bus, read and write lines, and a chipselect. One thing that I'm not understanding is what clock to use when constraining the input or output delay (Min and Max). This is because the clock on the flip-flops for my register in my "regTest" verilog module is a combination of RD or WR, address, and chipselect. (you can see this in the RTL netlist) I also have a dipswitch module that I read the value of dipswitches that needs to be constrained. All this is an area of confusion for me.

 

The other question I have is how to properly constrain the generated clock "OutClk" to its pin. Please ignore the crudity of this module, its a simplified version of the real thing.

 

I have attached the simplified version of my project along with a simple timing diagram of the signals coming from my NXP processor. The project works most of the time however, sometimes after a small change I have problems with either reading or writing. I believe this is because the project is not properly constrained. I'm wondering if someone would be so kind as to provide an example SDC file that shows proper constraints of this project?

 

Thanks in advance for your hard work, I really appreciate all the helps.

Brandon

0 Kudos
1 Solution
KhaiChein_Y_Intel
1,348 Views

Hi,

 

I tried to search internally, I could not find an example of constraining a SRAM. Could you check the document in https://forums.intel.com/s/question/0D50P00003yyGvhSAE/timequest-constrains-for-async-sram.

Hope it helps. Please let me know if this is not what you are looking for.

 

Thanks.

Best regards,

KhaiY

View solution in original post

0 Kudos
9 Replies
KhaiChein_Y_Intel
1,348 Views

Hi,

 

Please allow me some time to compile the design.

 

Thanks.

 

0 Kudos
BTayl147
Beginner
1,348 Views

Thank-you!!! I really appreciate the help! I look forward to your response!

 

Brandon

0 Kudos
BTayl147
Beginner
1,348 Views

KhaiY,

 

Just wondering if there was other information I could provide? I appreciate your help on this and look forward to your example!

 

Have a nice day!

Brandon

0 Kudos
KhaiChein_Y_Intel
1,348 Views

Hi Brandon,

 

I am still working on this. Please allow me some time.

 

Thanks.

Best regards,

KhaiY

0 Kudos
KhaiChein_Y_Intel
1,349 Views

Hi,

 

I tried to search internally, I could not find an example of constraining a SRAM. Could you check the document in https://forums.intel.com/s/question/0D50P00003yyGvhSAE/timequest-constrains-for-async-sram.

Hope it helps. Please let me know if this is not what you are looking for.

 

Thanks.

Best regards,

KhaiY

0 Kudos
BTayl147
Beginner
1,348 Views

KhaiY,

 

Thank-you! I will look at that in more detail in the next couple of days. It does look like what I'm after.

 

Thanks for the help!

Brandon

0 Kudos
KhaiChein_Y_Intel
1,348 Views

Hi,

 

Do you have any updates?

 

Thanks.

Best regards,

KhaiY

0 Kudos
BTayl147
Beginner
1,339 Views

KhaiY,

 

Sorry for the long delay!  Thank-you for your help!  I have somethings to go on now.

 

Take care,
Brandon

0 Kudos
Reply