Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16595 Discussions

Quartus pro 22.4 crashing

alexislms
Valued Contributor I
794 Views

3 versions later still crashing.

 

Quartus pro 22.1: https://community.intel.com/t5/Intel-Quartus-Prime-Software/Quartus-Pro-22-1-crashing/m-p/1391215

 

At synthesis:

 

Problem Details
Error:
Internal Error: Sub-system: CDB_SGATE, File: /quartus/db/cdb_sgate/cdb_sgate_compatible_registers.cpp, Line: 437
m_oterm_2_set_id_map.find(iname_id) == m_oterm_2_set_id_map.end()
Stack Trace:
  Quartus         0x1c57bf: CDB_SGATE_COMPATIBLE_REGISTERS::copy_map_entry(CDB_SGATE_COMPATIBLE_REGISTERS*, unsigned int) + 0x1ff (db_cdb_sgate)
  Quartus         0x1261ce: CDB_SGATE_NETLIST::copy_members_to_partitioned_netlist(CDB_SGATE_NETLIST*) + 0x4ae (db_cdb_sgate)
  Quartus         0x233a6b: sutil_get_partition_netlists(std::vector<CDB_SGATE_NETLIST*, std::allocator<CDB_SGATE_NETLIST*> >*, CDB_SGATE_NETLIST*, CDB_SGATE_HIERARCHY*, CMP_FACADE*, SUTIL_PARTITION_BOUNDARIES&, bool) + 0xa2b (synth_sutil)
  Quartus         0x24f4c4: SYNTH::QIS::AUTO_PARTITION_MANAGER::partition() + 0x21e (synth_qis)
  Quartus         0x277a5b: SYNTH::QIS::SYNTHESIS_FLOW::run_parallel_mls_in_helper_processes() + 0x179 (synth_qis)
  Quartus         0x27f0fe: SYNTH::QIS::SYNTHESIS_FLOW::mls() + 0x32e (synth_qis)
  Quartus         0x280a0f: SYNTH::QIS::SYNTHESIS_FLOW::run_current_phase() + 0x36f (synth_qis)
  Quartus         0x28107f: SYNTH::QIS::SYNTHESIS_FLOW::run_full_flow(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, bool, bool) + 0x44d (synth_qis)
  Quartus         0x182282: QIS_RTL_STAGE::IMPL::synthesize(QHD_PARTITION&, bool, bool) + 0x64c (synth_qis)
  Quartus         0x182578: QIS_RTL_STAGE::synthesize(QHD_PARTITION&, bool, bool) + 0x12 (synth_qis)
  Quartus          0xadc6f: qis_synthesize + 0x241 (synth_qis)
  Quartus          0x50fb7: TclNRRunCallbacks + 0x47 (tcl8.6)
  Quartus          0x527df: TclEvalEx + 0x94f (tcl8.6)
  Quartus          0xfa3a6: Tcl_FSEvalFileEx + 0x266 (tcl8.6)
  Quartus          0xfa4be: Tcl_EvalFile + 0x2e (tcl8.6)
  Quartus          0x2a8fc: qexe_evaluate_tcl_script(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&) + 0x3de (comp_qexe)
  Quartus          0x2dd73: qexe_do_tcl(QEXE_FRAMEWORK*, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::list<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::allocator<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > > > const&, bool, bool) + 0x4c2 (comp_qexe)
  Quartus          0x2ee7b: qexe_run_tcl_option(QEXE_FRAMEWORK*, char const*, std::__cxx11::list<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::allocator<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > > >*, bool) + 0x66e (comp_qexe)
  Quartus          0x6d1ec: QCU::DETAIL::intialise_qhd_and_run_qexe(QCU_FRAMEWORK&, FIO_PATH const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, char const*, std::__cxx11::list<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::allocator<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > > >*, bool) + 0x9c (comp_qcu)
  Quartus          0x6d638: qcu_run_tcl_option(QCU_FRAMEWORK*, char const*, std::__cxx11::list<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::allocator<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > > >*, bool) + 0x343 (comp_qcu)
  Quartus         0x409075: qsyn2_tcl_process_default_flow_option(ACF_VARIABLE_TYPE_ENUM, char const*) + 0x501 (quartus_syn)
  Quartus          0x3421d: qexe_standard_main(QEXE_FRAMEWORK*, QEXE_OPTION_DEFINITION const**, int, char const**) + 0xba2 (comp_qexe)
  Quartus         0x408ace: qsyn2_main(int, char const**) + 0x139 (quartus_syn)
  Quartus          0x4e546: msg_main_thread(void*) + 0x10 (ccl_msg)
  Quartus          0x4f764: msg_thread_wrapper(void* (*)(void*), void*) + 0x8c (ccl_msg)
  Quartus          0x1f568: mem_thread_wrapper(void* (*)(void*), void*) + 0x98 (ccl_mem)
  Quartus          0x10f3a: err_thread_wrapper(void* (*)(void*), void*) + 0x1e (ccl_err)
  Quartus           0xb7f5: thr_thread_wrapper + 0x15 (ccl_thr)
  Quartus          0x4f684: msg_exe_main(int, char const**, int (*)(int, char const**)) + 0xd8 (ccl_msg)
  Quartus         0x40898e: main + 0x26 (quartus_syn)
  System           0x3acf3: __libc_start_main + 0xf3 (c)
  Quartus         0x4088b9: _start + 0x29 (quartus_syn)

End-trace


Executable: quartus
Comment:
None

System Information
Platform: linux64
OS name: CentOS Stream
OS version: 8

Quartus Prime Information
Address bits: 64
Version: 22.4.0
Build: 94
Edition: Pro Edition

 

 

0 Kudos
1 Solution
alexislms
Valued Contributor I
744 Views
0 Kudos
5 Replies
alexislms
Valued Contributor I
781 Views
Problem Details
Error:
Internal Error: Sub-system: CDB_SGATE, File: /quartus/db/cdb_sgate/cdb_sgate_compatible_registers.cpp, Line: 437
m_oterm_2_set_id_map.find(iname_id) == m_oterm_2_set_id_map.end()
Stack Trace:
  Quartus         0x1c57bf: CDB_SGATE_COMPATIBLE_REGISTERS::copy_map_entry(CDB_SGATE_COMPATIBLE_REGISTERS*, unsigned int) + 0x1ff (db_cdb_sgate)
  Quartus         0x1261ce: CDB_SGATE_NETLIST::copy_members_to_partitioned_netlist(CDB_SGATE_NETLIST*) + 0x4ae (db_cdb_sgate)
  Quartus         0x233a6b: sutil_get_partition_netlists(std::vector<CDB_SGATE_NETLIST*, std::allocator<CDB_SGATE_NETLIST*> >*, CDB_SGATE_NETLIST*, CDB_SGATE_HIERARCHY*, CMP_FACADE*, SUTIL_PARTITION_BOUNDARIES&, bool) + 0xa2b (synth_sutil)
  Quartus         0x24f4c4: SYNTH::QIS::AUTO_PARTITION_MANAGER::partition() + 0x21e (synth_qis)
  Quartus         0x277a5b: SYNTH::QIS::SYNTHESIS_FLOW::run_parallel_mls_in_helper_processes() + 0x179 (synth_qis)
  Quartus         0x27f0fe: SYNTH::QIS::SYNTHESIS_FLOW::mls() + 0x32e (synth_qis)
  Quartus         0x280a0f: SYNTH::QIS::SYNTHESIS_FLOW::run_current_phase() + 0x36f (synth_qis)
  Quartus         0x28107f: SYNTH::QIS::SYNTHESIS_FLOW::run_full_flow(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, bool, bool) + 0x44d (synth_qis)
  Quartus         0x182282: QIS_RTL_STAGE::IMPL::synthesize(QHD_PARTITION&, bool, bool) + 0x64c (synth_qis)
  Quartus         0x182578: QIS_RTL_STAGE::synthesize(QHD_PARTITION&, bool, bool) + 0x12 (synth_qis)
  Quartus          0xadc6f: qis_synthesize + 0x241 (synth_qis)
  Quartus          0x50fb7: TclNRRunCallbacks + 0x47 (tcl8.6)
  Quartus          0x527df: TclEvalEx + 0x94f (tcl8.6)
  Quartus          0xfa3a6: Tcl_FSEvalFileEx + 0x266 (tcl8.6)
  Quartus          0xfa4be: Tcl_EvalFile + 0x2e (tcl8.6)
  Quartus          0x2a8fc: qexe_evaluate_tcl_script(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&) + 0x3de (comp_qexe)
  Quartus          0x2dd73: qexe_do_tcl(QEXE_FRAMEWORK*, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::list<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::allocator<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > > > const&, bool, bool) + 0x4c2 (comp_qexe)
  Quartus          0x2ee7b: qexe_run_tcl_option(QEXE_FRAMEWORK*, char const*, std::__cxx11::list<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::allocator<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > > >*, bool) + 0x66e (comp_qexe)
  Quartus          0x6d1ec: QCU::DETAIL::intialise_qhd_and_run_qexe(QCU_FRAMEWORK&, FIO_PATH const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, char const*, std::__cxx11::list<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::allocator<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > > >*, bool) + 0x9c (comp_qcu)
  Quartus          0x6d638: qcu_run_tcl_option(QCU_FRAMEWORK*, char const*, std::__cxx11::list<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::allocator<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > > >*, bool) + 0x343 (comp_qcu)
  Quartus         0x409075: qsyn2_tcl_process_default_flow_option(ACF_VARIABLE_TYPE_ENUM, char const*) + 0x501 (quartus_syn)
  Quartus          0x3421d: qexe_standard_main(QEXE_FRAMEWORK*, QEXE_OPTION_DEFINITION const**, int, char const**) + 0xba2 (comp_qexe)
  Quartus         0x408ace: qsyn2_main(int, char const**) + 0x139 (quartus_syn)
  Quartus          0x4e546: msg_main_thread(void*) + 0x10 (ccl_msg)
  Quartus          0x4f764: msg_thread_wrapper(void* (*)(void*), void*) + 0x8c (ccl_msg)
  Quartus          0x1f568: mem_thread_wrapper(void* (*)(void*), void*) + 0x98 (ccl_mem)
  Quartus          0x10f3a: err_thread_wrapper(void* (*)(void*), void*) + 0x1e (ccl_err)
  Quartus           0xb7f5: thr_thread_wrapper + 0x15 (ccl_thr)
  Quartus          0x4f684: msg_exe_main(int, char const**, int (*)(int, char const**)) + 0xd8 (ccl_msg)
  Quartus         0x40898e: main + 0x26 (quartus_syn)
  System           0x22555: __libc_start_main + 0xf5 (c)
  Quartus         0x4088b9: _start + 0x29 (quartus_syn)

End-trace


Executable: quartus
Comment:
None

System Information
Platform: linux64
OS name: CentOS Linux
OS version: 7

Quartus Prime Information
Address bits: 64
Version: 22.4.0
Build: 94
Edition: Pro Edition
0 Kudos
RichardTanSY_Intel
775 Views

I I haven't seen this internal error before. Could you help to share your design .qar file that could duplicate this error? 

As without duplication of the error from our side, the engineering team is not able to further investigate/debug. 


Do let me know if the design can't be shared publicly, I will send you an email on how to transfer the file securely. 


Best Regards,

Richard Tan


0 Kudos
alexislms
Valued Contributor I
745 Views

Richard,

An IPS ticket has been opened for this.

Regards,

0 Kudos
RichardTanSY_Intel
704 Views

I saw there is an agent been assigned to the IPS case.

Since the support for this case has been transitioned to IPS support, is it alright if I proceed to set this case to "closed" due to case duplication?


Best Regards,

Richard Tan


0 Kudos
RichardTanSY_Intel
692 Views

Thank for the confirmation. 


I will now transition this thread to community support. If you have a new question, Please login to ‘https://supporttickets.intel.com’, view details of the desire request, and post a feed/response within the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you on your follow-up questions.


Thank you.


Best Regards,

Richard Tan


p/s: If any answer from the community or Intel Support are helpful, please feel free to give best answer or rate 4/5 survey.



0 Kudos
Reply