Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16558 Discussions

Questa SE 21.2: (vlog-12110) -novopt error can't be suppressed

alexislms
Valued Contributor I
2,016 Views

I don't have -novopt in my vlog commands, only -incr.

It still complains with an error supposed "suppressible" vlog-12110.

I added `-suppress 12110` but it doesn't remove it.

 

 

# [EXEC] com
# Questa Intel Starter FPGA Edition-64 vlog 2021.2 Compiler 2021.04 Apr 14 2021
# ** Warning: (vlog-8891) All optimizations are disabled because the -novopt option is in effect. This will cause your simulation to run very slowly. If you are using this switch to preserve visibility for Debug or PLI features, please see the User's Manual section on Preserving Object Visibility with vopt. -novopt option is now deprecated and will be removed in future releases.
# Start time: 15:21:42 on Mar 04,2022
# vlog -reportprogress 300 -incr -suppress 12110 -work work ../../pkg.sv 

 

[...]

 

# Errors: 0, Warnings: 2
# Questa Intel Starter FPGA Edition-64 vlog 2021.2 Compiler 2021.04 Apr 14 2021
# ** Error (suppressible): (vlog-12110) All optimizations are disabled because the -novopt option is in effect. This will cause your simulation to run very slowly. If you are using this switch to preserve visibility for Debug or PLI features, please see the User's Manual section on Preserving Object Visibility with vopt. -novopt option is now deprecated and will be removed in future releases.
# Errors: 1, Warnings: 0
# /tools/intelFPGA/21.1/questa_fse/linux_x86_64/vlog failed.

 

1. Why does Questa think a -novopt is present whereas it isn't?

2. Can this be fixed?

0 Kudos
6 Replies
RichardTanSY_Intel
1,990 Views

Hi @alexislms 

 

Could you share us your design so I can duplicate this issue and further investigate on this? 

Please share us the steps in duplicate the issue for ease of use. 

 

Best Regards,
Richard Tan

p/s: If any answer from the community or Intel support are helpful, please feel free to give Kudos. 

0 Kudos
RichardTanSY_Intel
1,944 Views

Hi @alexislms 

 

Do you able to share your design to duplicate the error? 

Please kindly share so I can further investigate it.

Do remind that we do not want the case idle for too long so hope to get your reply soon. 

 

Best Regards,
Richard Tan

0 Kudos
RichardTanSY_Intel
1,866 Views

Hi @alexislms 

 

Do you able to share your design to duplicate the error? 

Please kindly share so I can further investigate it.

Do remind that we do not want the case idle for too long so hope to get your reply soon. 

 

Best Regards,

Richard Tan


0 Kudos
RichardTanSY_Intel
1,851 Views

We do not receive any response from you to the previous question/reply/answer that I have provided. This thread will be transitioned to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.


Best Regards,

Richard Tan


p/s: If any answer from the community or Intel support are helpful, please feel free to give Kudos. 


0 Kudos
alexislms
Valued Contributor I
1,750 Views

1. Generate any IP core's example design in Quartus Pro 22.1

2. Run Questa SE 2022.01 delivered with Q Pro 22.1

3. "do tb_run.tcl"

 

 

# [exec] dev_com
# Questa Intel Starter FPGA Edition-64 vlog 2022.1 Compiler 2022.01 Jan 29 2022
# ** Error (suppressible): (vlog-12110) All optimizations are disabled because the -novopt option is in effect. This will cause your simulation to run very slowly. If you are using this switch to preserve visibility for Debug or PLI features, please see the User's Manual section on Preserving Object Visibility with vopt. -novopt option is now deprecated and will be removed in future releases.
# Errors: 1, Warnings: 0
# ** Error: /tools/intelFPGA_pro/22.1/questa_fse/linux_x86_64/vlog failed.
# Error in macro ./tb_run.tcl line 29
# /tools/intelFPGA_pro/22.1/questa_fse/linux_x86_64/vlog failed.
#     while executing
# "vlog -work work $env(QUARTUS_ROOTDIR)/../ip/altera/sopc_builder_ip/verification/lib/verbosity_pkg.sv"

 

 By default, no example design generated with quartus can be run with questa it provides.

I'm lost with Quartus, whatever I do I have problems. Even by simply following the user guide of an IP.

0 Kudos
alexislms
Valued Contributor I
1,680 Views

The command doesn't use -novopt and I still get this error...

0 Kudos
Reply