Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Questa license file is not generated properly

GeorgeKAdam
Novice
635 Views

I have generated the free license for Questa but the file is not properly generated. About a week ago, the license files were generated appropriately. Now, the last couple of days the license files are different, have less content and does not work. Could you please find out why is happening?

0 Kudos
1 Solution
GeorgeKAdam
Novice
525 Views

Dear Sir,

 

thank you very much for all your care.

Indeed now the license files are generated correctly.

 

Thank you once  again.

With kind regards,

George K. Adam

View solution in original post

0 Kudos
6 Replies
AR_A_Intel
Employee
612 Views

Hello

 

Welcome to INTEL forum. Kindly provide your Questa license.dat file for further checking.


0 Kudos
GeorgeKAdam
Novice
603 Views

Dear Sir,

thank you for your response.

Here I have attached the license file generated.

As you can see (compared to other license files I have generated previous week) this file is smaller with a part missing (it is not complete like the other files).

Just to inform you, I am working as a professor at a university and I have installed Quartus in computers in two labs. Last week I started the installation, and so for some of them the license files generated are ok, but since Saturday, the files are not generated properly.

Thank you once again.

With kind regards,

George K. Adam

0 Kudos
AR_A_Intel
Employee
582 Views

Please give me some time to further check with our IT team regarding your issue. I’ll be back with an update. TQ


0 Kudos
AR_A_Intel
Employee
547 Views

Hello,

Good day

 

Thank you for your patience. Apologize for the inconvenience happen, there are some technical issues due to upgrading proses. Now the license generator issue has been resolved. Login to https://licensing.intel.com/ to get your new license file and let us know how it goes.


0 Kudos
GeorgeKAdam
Novice
526 Views

Dear Sir,

 

thank you very much for all your care.

Indeed now the license files are generated correctly.

 

Thank you once  again.

With kind regards,

George K. Adam

0 Kudos
AR_A_Intel
Employee
513 Views

You're welcome, it's been my pleasure helping you. Hope all is well. This thread will be transitioned to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you


0 Kudos
Reply