Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16606 Discussions

Questa lpm CycloneV library not found

Sahil_Honeywell
New Contributor I
590 Views

Hi, 

I have been using Quartus Prime Standard & Altera-Modelsim software for my design on cycloneV FPGA device for couple of years now. Last week I installed Questa Intel software to replace the Modelsim software. All the rtl files compile fine, except one lpm IP module. When I try to compile that lpm IP module, it generates an error: "(vcom-1598) Library "cyclonev" not found".  (screenshot below)

I am not sure why that error is getting generated. Do I need to install any additional cycloneV device packages for the Questa software? Or are there any packages that I need to move from Modelsim to Questa?

 

Kindly help! Thanks

0 Kudos
4 Replies
SyafieqS
Moderator
571 Views

Hi Sahil,


May I know what Quartus version are you using? std 21.1?

If you replace Modelsim with Questa that is not supported for Quartus version, this might be causing an issue.

I found a related issue that might be helpful, may take a look below

https://community.intel.com/t5/Programmable-Devices/vector-wavefrom-showing-different-errors/m-p/1407834



0 Kudos
Sahil_Honeywell
New Contributor I
560 Views

I am using Quartus Prime Standard 20.1.1

I am also using Questa-Intel FPGA Edition 2022.1 (Quartus Prime Pro 22.2). 

 

I changed the Questa-Intel FPGA Edition to 21.1 (Standard).  It works now. Thanks a lot for your help.

 

0 Kudos
SyafieqS
Moderator
560 Views

Hi Sahil,


Glad you are able to resolved it. Let me know if there is any other concern.


p/s: If any answer from community or Intel support are helpful, please feel free to mark as solution, give Kudos and rate 10/10 survey



0 Kudos
SyafieqS
Moderator
539 Views

I’m glad that your question has been addressed, I now transition this thread to community support. If you have a new question, Please login to ‘https://supporttickets.intel.com’, view details of the desire request, and post a feed/response within the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you on your follow-up questions.


p/s: If any answer from community or Intel support are helpful, please feel free to mark as solution, give Kudos and rate 10/10 survey


0 Kudos
Reply