Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16606 Discussions

Reading output signals feature in VHDL-2008 & Quartus

Altera_Forum
Honored Contributor II
2,745 Views

I have issue with reading outputs in VHDL-2008 code with Quartus. I made simple example which reads output signals inside process. Then i set VHDL standard to VHDL-2008 (in file properties as well as in global project settings). Compilation error appears: 

Error (10309): VHDL Interface Declaration error in vhdl2008.vhd(16): interface object "q" of mode out cannot be read. Change object mode to buffer.I checked it with Quartus versions 11.1 and 12.1 (32 & 64 bit) with same results. At the same time the code is successfully compiled by Modelsim. What's wrong? I thought that reading outputs is one of the basic feature which is supported in new VHDL revision. 

 

 

library ieee; use ieee.std_logic_1164.all; entity vhdl2008 is port (clk : in std_logic; q: out std_logic := '0'); end entity; architecture rtl of vhdl2008 is begin process(clk) begin if rising_edge(clk) then q <= not q; end if; end process; end rtl;
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
1,745 Views

The VHDL 2008 setting in Quartus might be understood as full support of the new language features. But apparently it isn't so. 

 

Quartus help lists a number of explicitely supported VHDL features. Other features like the said one are apparently unsupported.
0 Kudos
Altera_Forum
Honored Contributor II
1,745 Views

 

--- Quote Start ---  

The VHDL 2008 setting in Quartus might be understood as full support of the new language features. But apparently it isn't so. 

 

Quartus help lists a number of explicitely supported VHDL features. Other features like the said one are apparently unsupported. 

--- Quote End ---  

 

 

==> It would be nice if Altera was to get going and provide full support for VHDL 2008. This feature is not supported. One way to see which features are supported is to browse the templates available for VHDL full designs, and you will see the various features in VHDL 2008 that are supported by Altera explicity showing designs with these features.
0 Kudos
Altera_Forum
Honored Contributor II
1,745 Views

Raise a my support request with altera requesting better 2008 support. only with enough requests will they actually support 2008 properly rather than the rather patchy support atm.

0 Kudos
Reply