Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16596 Discussions

Relationship between Instantiating, Wires, Registers

Altera_Forum
Honored Contributor II
955 Views

My top module has 3 signals 

(clk,rst : Inputs 

Display : output) 

I'm trying to instantiate another module which also has the same 3 signals. 

In my top module i used display as a "reg" for calculation purposes. 

When i try to instantiate i get an error saying " output or inout port display must be connected to a structural net. 

So i changed Display to a net.  

Now i get an error saying "left hand side must be of variable data type" 

I also tries using a temporary variable to circumvent this problem but keep getting the same error  

"left hand side must be of variable data type" 

What do i do..?
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
269 Views
0 Kudos
Altera_Forum
Honored Contributor II
269 Views

Thank you. 

That was informative
0 Kudos
Reply