Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

SDC warning 332174

Altera_Forum
Honored Contributor II
1,423 Views

Greetings, 

 

I am getting the warning ID 332174 referring to my sdc file: Ignored filter at mobile_ddr_sdram.sdc(70): inst1|OffChipMemoryArbiterAndController_inst|mddr_ctrl_inst|ddr_pll_inst|altpll_component|auto_generated|pll1|clk[3] could not be matched with a clock. 

 

However, looking in TimeQuest Timing Analyzer "Clocks" section, I do indeed see it list that path as a clock: 

 

inst1|OffChipMemoryArbiterAndController_inst|mddr_ctrl_inst|ddr_pll_inst|altpll_component|auto_generated|pll1|clk[3] 

 

In fact, I copied and pasted the exact string into my SDC file. 

 

Any suggestions?
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
389 Views

Has anyone ever experienced this? Surely I am not the first.....

0 Kudos
Reply