Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Signal tap: Program the device to continue

BeB
Beginner
2,467 Views

Hello,

I am using Quartus 19.1 for a Stratix S10 1SX280LN3. After successfully compiling my project with the latest .stp file created from signal tap analyzer (no warnings other than some registers pruned away) and programming the device, I keep getting the message "program the device to continue" when launching signal tap. Of course if I program the device, I keep getting the same message.

That makes it impossible to debug my project.

Many thanks for any input you may have,

Regards,

BeB

0 Kudos
11 Replies
sstrell
Honored Contributor III
2,452 Views

That status message isn't always 100% accurate, especially if you program the device in the Programmer instead of directly from the JTAG Configuration section of the .stp file window.  Just try starting the logic analyzer after programming the device.  If it works, great.  If not, you may get a message about why it won't run.

0 Kudos
BeB
Beginner
2,432 Views

Hello,

Thanks for the feedback. The same issue arises whether I use the programmer or directly program the .sof from the signal tap analyzer window.

On top of that, I would like to enable signal tap to debug some faulty behavior at power up that happens once in a while, therefore I do not want to re-program the device once the faulty behavior happens.

Thanks again,

BeB

0 Kudos
sstrell
Honored Contributor III
2,423 Views

For that, you need to set up a power-up trigger that does exactly what you need: look for a trigger event to occur after device programming but before you can manually start the logic analyzer.  See the debug tools user guide for details on setting up a power-on trigger.

0 Kudos
BeB
Beginner
2,406 Views

Yes I am aware, but that doesn't work when the message "program the device to continue" is displayed. I am sure the trigger worked, but I can't get the data from signal tap.

0 Kudos
sstrell
Honored Contributor III
2,391 Views

Are you programming in the .stp window or in the Programmer?

If you are successfully programming the device from within the .stp window and you are still seeing that status, which is preventing you from starting the logic analyzer to obtain the power-up trigger captured data, the only thing I can think of is recompile and generate a new programming file.

0 Kudos
BeB
Beginner
2,342 Views

Hi,

 

I have done both (.stp window and programmer), with the same result. I have tried recompiling, without success.

 

One of the major change introduced between the working signal tap and broken signal tap is the clocking scheme. I selected a new reference clock in signal tap to reflect that, it's a valid reference clock as far as I know, but maybe signal tap disagrees with that assessment? Mind you, the project is completely functional otherwise.

 

I can't really think of anything else.

 

Thanks,

BeB

0 Kudos
RichardTanSY_Intel
2,381 Views

Regarding to the issue "Program the device to continue", you may checkout the webpage below for solution.

https://www.programmersought.com/article/27694462090/

I hope it helps.

 

Best Regards,
Richard Tan

p/s: If any answer from the community or Intel support are helpful, please feel free to give Kudos. 

0 Kudos
BeB
Beginner
2,342 Views

Hi,

Thank you for your input, but the cable is definitely plugged since I can flash the device, and the device has not changed, it's always been the same (and the correct one) and it used to work just fine.

 

BeB

 

 

0 Kudos
RichardTanSY_Intel
2,306 Views

Hi @BeB 

 

I can't figure out what could go wrong for your issue. 

One thing to try is to reduce the clock frequency. That may help with detecting the signal taps.

You could try to install the latest Quartus Pro 21.1 and check if the issue still persist. 

 

Best Regards,
Richard Tan

p/s: If any answer from the community or Intel support are helpful, please feel free to give Kudos. 

0 Kudos
RichardTanSY_Intel
2,266 Views

I have yet to receive any response from you to the previous question/reply/answer that I have provided.


With that, I will now transition this thread to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.

Best Regards,
Richard Tan

p/s: If any answer from the community or Intel support are helpful, please feel free to give Kudos. 

0 Kudos
DeanK77
Beginner
648 Views

This exchange was useless.

0 Kudos
Reply