Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16606 Discussions

Sorry! The Quartus Prime software quit unexpectedly.

Altera_Forum
Honored Contributor II
5,249 Views

When you have vhdl with an error like: 

data(to_integer(index) to to_integer(index)+15)<="101010101010101"; 

(the right side is one bit short) 

Quartus stops but does not tell you why. 

I contacted Altera technical support, but as this problem only appears in the Lite version they won't fix it. 

So on your own trying to understand what crashed Quartus.:( 

 

Well... at least an internet search may help you now with this problem.
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
2,985 Views

Hi  

I don't know what version of quartus you are using. 

But in quartus 17, it says explicitly that the expression on the right side must have 16 elements. 

In verilog, this kind of assignment (the right side is lower/greater then the the left side) is allowed , although quartus reports some warnings related to truncation. 

 

--kais--
0 Kudos
Altera_Forum
Honored Contributor II
2,985 Views

It happens Quartus 17.0.2 Build 602 07/09/2017 SJ Lite Edition 

The error can be reproduced, but seems dependent on what else is in the VHDL.
0 Kudos
Reply