Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16597 Discussions

SystemVerilog "unique if" not supported in Quartus?

Altera_Forum
Honored Contributor II
1,367 Views

It seems like Quartus 16.0 will choke on SystemVerilog "unique if" statements. Could somebody else confirm this, or are there additional SystemVerilog options which can be set in order to support it? 

 

Thanks!
0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
577 Views

Q16.0 only supports the following SV constructs: 

http://quartushelp.altera.com/16.0/index.htm#hdl/vlog/vlog_list_sys_vlog.htm
0 Kudos
Altera_Forum
Honored Contributor II
577 Views

Quartus Prime Pro(which I believe only supports Arria 10) has a new front end HDL interpreter that supports a lot more than the old one. I don't have exact details on what it supports, but would expect this to be supported.

0 Kudos
Altera_Forum
Honored Contributor II
577 Views

 

--- Quote Start ---  

Q16.0 only supports the following SV constructs: 

http://quartushelp.altera.com/16.0/index.htm#hdl/vlog/vlog_list_sys_vlog.htm 

--- Quote End ---  

 

 

Thanks! 

 

That says "Supported (unique/priority supported only on case statements)" which basically means that unique if is not supported.
0 Kudos
Altera_Forum
Honored Contributor II
577 Views

Good point. I'll have to check that out.

0 Kudos
Reply