Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16597 Discussions

Testbench Generation?????

Altera_Forum
Honored Contributor II
1,311 Views

Hi everybody! 

I'm interested in simulation of vhdl-projects. And I have read that there is a possibility to generate testbench in ModelSim automatically. If somebody used testbench generation, can you answer:is generated testbench written with VHDL or tcl ? 

OR I will be glad if you give me some references to automatic testbench generation. 

 

Thank you in advance:)
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
300 Views
0 Kudos
Altera_Forum
Honored Contributor II
300 Views

Thanks a lot)

0 Kudos
Reply