Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16593 Discussions

The Quartus prime software quit unexpectedly

tengda
Beginner
3,100 Views

quartus prime pro 21.1 crashed during route part, it says there is a internal error occured. anyhelp is wished.

Problem Details:
Error:
Internal Error: Sub-system: PTI, File: /quartus/tsm/pti/pti_tdb_builder.cpp, Line: 1357
IC edge from src atom NADDER_IO_IBUF:OUT (Id: 300748, with associated RE_GID: None) to dst atom NADDER_FF:ACLR (Id: 44026, with associated RE_GID: 4294967295) has zero delay when not expected and is not routed (edge from src 1672119 PCIE_RESET_n~input|o to dst 495949 u0|board_fec_pcie_fec|pcie_s10_hip_avmm_bridge_0|hip|altera_pcie_s10_hip_ast_pipen1b_inst|pin_perst_synch|dreg[1]|clrn)
Stack Trace:
Quartus 0x2fcd2: PTI_TDB_BUILDER::check_ic_edge_delay + 0x6f2 (tsm_pti)
Quartus 0x2e4b9: PTI_TDB_BUILDER::create_iterm_ic_edge + 0x6e9 (tsm_pti)
Quartus 0x2ed88: PTI_TDB_BUILDER::build_or_update_tdb_netlist + 0x260 (tsm_pti)
Quartus 0x6a9d2: PTI_DELAY_ANNOTATOR::full_annotate_routing_and_cell + 0x4de (tsm_pti)
Quartus 0x6c426: PTI_DELAY_ANNOTATOR::build_multicorner + 0x412 (tsm_pti)
Quartus 0x94057: FITCC_TDC_UTILITY::initialize_dat + 0x9c7 (FITTER_FITCC)
Quartus 0x950ea: FITCC_TDC_UTILITY::setup_tdc_utility + 0x20a (FITTER_FITCC)
Quartus 0x90abe: FITCC_TDC_UTILITY::FITCC_TDC_UTILITY + 0x16e (FITTER_FITCC)
Quartus 0x505a0: FITCC_ENV::get_tdc_utility_or_create_if_necessary + 0x7f0 (FITTER_FITCC)
Quartus 0x5094d: FITCC_ENV::get_tdc_utility_or_create_if_necessary + 0x16d (FITTER_FITCC)
Quartus 0xd2eb3: FDRGN_AUTO_DELAY_CHAIN_OP::work_new + 0x273 (fitter_fdrgn)
Quartus 0xbd46a: fdrgn_auto_delay_chain_work + 0x16a (fitter_fdrgn)
Quartus 0x7b236: FDRGN_EXPERT::do_post_route_ops + 0x346 (fitter_fdrgn)
Quartus 0x8d0be: FDRGN_EXPERT::route + 0x24e (fitter_fdrgn)
Quartus 0x170e4: fit2_fit_route_auto + 0xe4 (comp_fit2)
Quartus 0x16442: TclNRRunCallbacks + 0x62 (tcl86)
Quartus 0x3918: fit2_fit_route + 0x368 (comp_fit2)
Quartus 0x16442: TclNRRunCallbacks + 0x62 (tcl86)
Quartus 0x17c4d: TclEvalEx + 0x9ed (tcl86)
Quartus 0xa6a8b: Tcl_FSEvalFileEx + 0x22b (tcl86)
Quartus 0xa5136: Tcl_EvalFile + 0x36 (tcl86)
Quartus 0x151f6: qexe_evaluate_tcl_script + 0x4e6 (comp_qexe)
Quartus 0x140eb: qexe_do_tcl + 0x46b (comp_qexe)
Quartus 0x1a67e: qexe_run_tcl_option + 0x5ee (comp_qexe)
Quartus 0x14b81: QCU::DETAIL::intialise_qhd_and_run_qexe + 0xa1 (comp_qcu)
Quartus 0x214a2: qcu_run_tcl_option + 0x2f2 (comp_qcu)
Quartus 0x19f6b: qexe_run + 0x3eb (comp_qexe)
Quartus 0x1b0ba: qexe_standard_main + 0x26a (comp_qexe)
Quartus 0x1fe2: qfit2_main + 0x82 (quartus_fit)
Quartus 0x194b8: msg_main_thread + 0x18 (CCL_MSG)
Quartus 0x19b81: msg_thread_wrapper + 0x71 (CCL_MSG)
Quartus 0x22dc0: mem_thread_wrapper + 0x70 (ccl_mem)
Quartus 0x1774d: msg_exe_main + 0x20d (CCL_MSG)
Quartus 0x2bd8: __scrt_common_main_seh + 0x11c (quartus_fit)
Quartus 0x17033: BaseThreadInitThunk + 0x13 (KERNEL32)
Quartus 0x52650: RtlUserThreadStart + 0x20 (ntdll)

End-trace


Executable: qpro
Comment:
None

System Information
Platform: windows64
OS name: Windows 10
OS version: 10.0

Quartus Prime Information
Address bits: 64
Version: 21.1.0
Build: 169
Edition: Pro Edition

0 Kudos
1 Solution
Nurina
Employee
3,058 Views

Hi,

 

Thank you for sharing your solution. This is a known problem in Quartus 21.1 that has been fixed to show an error instead of an internal error in Quartus Prime Pro Edition 21.3. 

If you come across this problem again please check to see if you have Warning message (21833) : https://www.intel.com/content/www/us/en/support/programmable/articles/000085762.html

 

Seeing as your problem has been solved, I now transition this thread to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.

Regards,
Nurina

P/S: If you like my comment, feel free to give Kudos. If my comment solved your problem, feel free to accept my comment as solution!

View solution in original post

3 Replies
Nurina
Employee
3,095 Views

Hi,


Can you upload your .qar file so I can reproduce the error? To generate this, go to Project->Archive Project.


Regards,

Nurina


0 Kudos
tengda
Beginner
3,090 Views

Problem has been solved.

In the qsf file,  the IO_STANDARD of PCIe reset pin set wrong, but it is strange that even if the IO_STANDARD constraint is wrong, an error should be reported in the plan phase.

still thank.

0 Kudos
Nurina
Employee
3,059 Views

Hi,

 

Thank you for sharing your solution. This is a known problem in Quartus 21.1 that has been fixed to show an error instead of an internal error in Quartus Prime Pro Edition 21.3. 

If you come across this problem again please check to see if you have Warning message (21833) : https://www.intel.com/content/www/us/en/support/programmable/articles/000085762.html

 

Seeing as your problem has been solved, I now transition this thread to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.

Regards,
Nurina

P/S: If you like my comment, feel free to give Kudos. If my comment solved your problem, feel free to accept my comment as solution!

Reply