Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

The quartus prime software quit unexpectedly

ymiler
Employee
2,366 Views

Hi

 

I got Quartus prime Problem report in the middle of the placement - I got pop up message and then the Quartus stuck

 

The problem details are :

 

Problem Details
Error:
Internal Error: Sub-system: PCA, File: /quartus/fitter/pca/qi/pca_quartus_fpp_interface.cpp, Line: 91
ports.size() == 1
Stack Trace:
Quartus 0xeb66f: PCA::FPP_INTERFACE::info_for_placed_iterm(CDB_ATOM_ITERM const*) const + 0x43f (fitter_pca)
Quartus 0x10cb2a: PCA::FPP_CLOCK_ROUTER::FPP_CLOCK_ROUTER(std::vector<PCA::CLOCK, std::allocator<PCA::CLOCK> > const&, PCA::DYGR_DEVICE const&, CDB_ATOM_NETLIST const&, PCA::PCA_NETLIST const&, CLK::BLOCK_DB const&, PCA::FPP_INTERFACE, PCA::ATOM_CONSTRAINTS const&, int, std::shared_ptr<CCLK_PCA::CTS_INTERFACE>, QTL_HASH_LITE<std::pair<QTL::POINT<2, int, CLK::SECTOR_SPACE>, PCA::CCPR>, std::vector<QTL::BBOX<2, int, CLK::SECTOR_SPACE>, std::allocator<QTL::BBOX<2, int, CLK::SECTOR_SPACE> > >, QTL_OPS<std::pair<QTL::POINT<2, int, CLK::SECTOR_SPACE>, PCA::CCPR>, void> > const&, bool) + 0x201a (fitter_pca)
Quartus 0xc6caf: PCA::run_pca() + 0x18bf (fitter_pca)
Quartus 0x8597ff: (anonymous namespace)::bi_run_pca(BSYN_STRATEGY_TYPE) + 0xef (fitter_vpr20kmain)
Quartus 0x85b591: bi_bsyn() + 0x71 (fitter_vpr20kmain)
Quartus 0x15b446: VPR_QI_FACADE::bsyn() + 0x36 (fitter_vpr20kmain)
Quartus 0x15b850: VPR_QI_FACADE::vpr_bsyn_flow(bool) + 0x20 (fitter_vpr20kmain)
Quartus 0x7f593: FDRGN_EXPERT::run_vpr(bool, bool, bool, bool, BSYN_FLOW_TYPE) + 0x193 (fitter_fdrgn)
Quartus 0x8cd73: FDRGN_EXPERT::place() + 0xa3 (fitter_fdrgn)
Quartus 0x27577: fit2_fit_place_auto + 0x137 (comp_fit2)
Quartus 0x4c942: TclNRRunCallbacks + 0x42 (tcl8.6)
Quartus 0x151c8: fit2_fit_place + 0x2ce (comp_fit2)
Quartus 0x4c942: TclNRRunCallbacks + 0x42 (tcl8.6)
Quartus 0x4de7b: TclEvalEx + 0x68b (tcl8.6)
Quartus 0xf3f0e: Tcl_FSEvalFileEx + 0x25e (tcl8.6)
Quartus 0xf3ffe: Tcl_EvalFile + 0x2e (tcl8.6)
Quartus 0x14316: qexe_evaluate_tcl_script(std::string const&) + 0x452 (comp_qexe)
Quartus 0x18703: qexe_do_tcl(QEXE_FRAMEWORK*, std::string const&, std::string const&, std::list<std::string, std::allocator<std::string> > const&, bool, bool) + 0x3d9 (comp_qexe)
Quartus 0x196bc: qexe_run_tcl_option(QEXE_FRAMEWORK*, char const*, std::list<std::string, std::allocator<std::string> >*, bool) + 0x559 (comp_qexe)
Quartus 0x31f17: QCU::DETAIL::intialise_qhd_and_run_qexe(QCU_FRAMEWORK&, FIO_PATH const&, std::string const&, std::string const&, char const*, std::list<std::string, std::allocator<std::string> >*, bool) + 0xc5 (comp_qcu)
Quartus 0x35ced: qcu_run_tcl_option(QCU_FRAMEWORK*, char const*, std::list<std::string, std::allocator<std::string> >*, bool) + 0x225 (comp_qcu)
Quartus 0x1cfec: qexe_standard_main(QEXE_FRAMEWORK*, QEXE_OPTION_DEFINITION const**, int, char const**) + 0x617 (comp_qexe)
Quartus 0x4031e2: qfit2_main(int, char const**) + 0x92 (quartus_fit)
Quartus 0x3f68a: msg_main_thread(void*) + 0x10 (ccl_msg)
Quartus 0x42856: msg_thread_wrapper(void* (*)(void*), void*) + 0x64 (ccl_msg)
Quartus 0x1542e: mem_thread_wrapper(void* (*)(void*), void*) + 0x5e (ccl_mem)
Quartus 0xc602: err_thread_wrapper(void* (*)(void*), void*) + 0x1e (ccl_err)
Quartus 0x6c95: thr_thread_wrapper + 0x15 (ccl_thr)
Quartus 0x43357: msg_exe_main(int, char const**, int (*)(int, char const**)) + 0x148 (ccl_msg)
System 0x1ec36: __libc_start_main + 0xe6 (c)
Quartus 0x402a95: (quartus_fit)

End-trace


Executable: quartus
Comment:
None

System Information
Platform: linux64
OS name: SUSE LINUX
OS version: 11

Quartus Prime Information
Address bits: 64
Version: 20.3.0
Build: 158
Edition: Pro Edition

 

Do someone know how can I solve it ?

 

Yishay

0 Kudos
12 Replies
Nurina
Employee
2,349 Views

Hi,


Can you share your qar file so I can investigate this problem? To do this, go to Project->Archive Project..


Thanks,

Nurina


0 Kudos
ymiler
Employee
2,337 Views

Yes ,

 

Enclosed

0 Kudos
ymiler
Employee
2,336 Views

Hi

 

I have more details about the problem :

 

My project includes - LVDS SERDES Intel FPGA IP - the problem occur when I sign the checkbox : Use the CPA block for improved periphery-core timing  -

 

Then ,  the Quratus crash at the place process 

 

ymiler_0-1625033667582.png

 

 

Yishay

0 Kudos
Nurina
Employee
2,333 Views

Hi,


Thanks for the .qar file and the further details. I cannot seem to open the S10_SERDES_RX IP file. Possibly got corrupted in the process of archiving the project. Can you share the zipped file of your project?


Thanks,

Nurina


0 Kudos
ymiler
Employee
2,324 Views

Hi

 

Attach tar file of my project 

 

 

BR,

Yishay

0 Kudos
Nurina
Employee
2,302 Views

Hi Yishay,


Can you try including the following command in your qsf file?


set_instance_assignment -name GLOBAL_SIGNAL OFF -to <reset_pin_name>


Regards,

Nurina


0 Kudos
SyafieqS
Moderator
2,296 Views

Yishay,

You may specify to turn off global routing at the reset signals in your top entity file as destination in the assignment and recompile and this should pass the flow. The reason for this issue is due to reset signal is auto-promoted to route globally as optimization technique by Quartus which is not an expected behavior. Upcoming KDB will be published on this. 

0 Kudos
ymiler
Employee
2,283 Views

Hi 

You may specify the reset signals in your top entity file as destination in the assignment   

{YM}- I already have a assignment in my QSF file :

set_instance_assignment -name IO_STANDARD "1.8 V" -to s_rst_l -entity fpga_top 

Should I need another assignment ?

 

Yishay

 

0 Kudos
SyafieqS
Moderator
2,282 Views

Yishay,

 

My bad. You should specify to turn off the global routing at the reset signal in your qsf as below

set_instance_assignment -name GLOBAL_SIGNAL OFF -to s_rst_l 

 

This should be working. 

0 Kudos
Nurina
Employee
2,276 Views

Hi Yishay,


Did the above solution resolved your problem?


Regards,

Nurina


0 Kudos
ymiler
Employee
2,272 Views

Hi Nurina ,

 

Yes - the problem is sloved 

 

Thank you 

0 Kudos
Nurina
Employee
2,268 Views

Hi Yishay,

That's great. I’m glad that your question has been addressed, I now transition this thread to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.

Regards,
Nurina

PS: If you find any comment from the community or Intel Support to be helpful, feel free to give Kudos.

0 Kudos
Reply