Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

Timing Analysis Report

Altera_Forum
Honored Contributor II
2,561 Views

I have done a static timing analysis using TimeQuest Timing Analyzer for 100 MHz clock frequency for my design. I have got the following reports: 

 

  1. Info (332140): No Setup paths to report 

  2. Info (332140): No Hold paths to report 

  3. Info (332140): No Recovery paths to report 

  4. Info (332140): No Removal paths to report 

  5. Info (332146): Worst-case minimum pulse width slack is 3.889 

 

Info (332119): Slack End Point TNS Clock  

Info (332119): ========= ============= ===================== 

Info (332119): 3.889 0.000 clk  

 

 

 

 

What does it means? Does my design meets the clock requirement of 100 MHz?
0 Kudos
9 Replies
Altera_Forum
Honored Contributor II
1,105 Views

Do you have syncronous logic? This is fast model?

0 Kudos
Altera_Forum
Honored Contributor II
1,105 Views

Yes a synchronous logic. This is slow model.

0 Kudos
Altera_Forum
Honored Contributor II
1,105 Views

Did you create a sdc file and add clock and include it into your quartus compile? Example: 

 

create_clock -name "clkin" -period "100MHz" [ get_ports clk ] 

 

If you have set the sdc file, you should get some figures on your setup/hold. +slack means meet and -ve slack means fail timing. Also you should be able to check your Fmax as well.
0 Kudos
Altera_Forum
Honored Contributor II
1,105 Views

Path = flip-flop to flip-flop path so your design seem to have a problem

0 Kudos
Altera_Forum
Honored Contributor II
1,105 Views

 

--- Quote Start ---  

Did you create a sdc file and add clock and include it into your quartus compile? Example: 

 

create_clock -name "clkin" -period "100MHz" [ get_ports clk ] 

 

If you have set the sdc file, you should get some figures on your setup/hold. +slack means meet and -ve slack means fail timing. Also you should be able to check your Fmax as well. 

--- Quote End ---  

 

 

 

Yes I have created a SDC file for 100MHz frequency. then I have got this results. I dint no why any setup and hold slack is not there at the result. Do you have any idea? Foradditional info I am using Quartus 13.0 web edition.
0 Kudos
Altera_Forum
Honored Contributor II
1,105 Views

It is likely your design is simple input assigned to output through one set of registers so there is no internal path. still you may have external io paths if you declare set_input_delay etc.

0 Kudos
Altera_Forum
Honored Contributor II
1,105 Views

At the Compilation report, can you look at the TimeQuest Timing Analyzer tab -> Clocks, do you see your clock for your design and the assigned frequency?

0 Kudos
Altera_Forum
Honored Contributor II
1,105 Views

 

--- Quote Start ---  

At the Compilation report, can you look at the TimeQuest Timing Analyzer tab -> Clocks, do you see your clock for your design and the assigned frequency? 

--- Quote End ---  

 

 

Yes at the Compilation report, the clcoks tab under TimeQuest Timing Analyzer tab it shows the frequency information as I set (100 MHz)
0 Kudos
Altera_Forum
Honored Contributor II
1,105 Views

Sounds weird to me. Would you be kind enough to archive your project file to .qar? I will try to go over quickly just to ensure that everything is ok.

0 Kudos
Reply