Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16606 Discussions

Trouble running an old Quartus-II

Altera_Forum
Honored Contributor II
952 Views

For a very long time, I've been using Altera EPM7128SLC84's for which I had boards made back a couple of decades ago as reuseable test fixtures or attachments to them. I occasionally have to reprogram them, and like to use Modelsim to help avoid "stupid" errors. I've been trying for about 8 months to get Quartus-II 13.0SP1 to work but haven't had the time or the needed information to set up that software pack in order to get it to work properly. Admittedly, some of the test fixtures I use in my lab are Xilinx boards, and I have used them because their software makes using them "dirt-simple". They simply require the design entry and the software automatically creates all the needed files to run right into the simulator and show the simulation output. I don't know "where the rocks are, so I can't walk on the water". The Quartus-II I've been trying to use is v13.0SP1 with Modelsim Starter, which is apparently the last version that supported these older CPLD's. 

 

Most of my clients are as long in the tooth as I, and are more comfortable with schematics than with HDL, so I use them most of the time, just so we all are on the same page. I do use VHDL from time to time. However, modules entered in VHDL end up as symbols on a schematic, so my clients can grasp what's going on.  

 

Could someone tell me what and how parameters have to be set in order to make this software work with these CPLD's and with 3120A's and with VHDL?  

 

thanks in advance, 

 

Uli
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
255 Views

You don't mention exactly what is not working. You install Quartus and then....what happens?

0 Kudos
Altera_Forum
Honored Contributor II
255 Views

 

--- Quote Start ---  

You don't mention exactly what is not working. You install Quartus and then....what happens? 

--- Quote End ---  

 

 

Well, what I want to say is, "nothing", but that's not entirely true. I've gotten as far as having it load Modelsim when the "run Gate-Level simulation" button is pressed, though it generates a message, "check nativelink file" or some such, which file doesn't exist. Unfortunately, the parameter(s) enabling Modelsim to find the signals to display and the testbench have apparently not been loaded.  

 

Thanks for your reply. 

 

Uli
0 Kudos
Reply