Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16557 Discussions

Using IPs on a Verilog code

Shainy
Beginner
1,075 Views

Hi,

I'm using an IP for a multiplier and an accumulator, and I'm quite unsure of how to use it in my Verilog code. I built a block diagram for it, but I need to control the number of times these 2 ips function, before they're reset. 

To give a little background, I want the multiplier to multiply n number of values sets, and send them to the accumulator, which will accumulate the the n number of values sent, and send the final value to the output buffer.

Could someone kindly guide me on the steps to be taken? Thanks a bunch!

 

Best Regards,

Shainy

0 Kudos
6 Replies
sstrell
Honored Contributor III
1,061 Views

I think folks on this board are going to want to see the code you have so far and then can help you edit or finish it.  

0 Kudos
Shainy
Beginner
1,058 Views

Hi Sstrell,

Thank you for your reply! I'm actually a bit lost on how to use the ip I've created on my verilog code. I tried looking for ways and examples on the internet, but I was not able to. Am I to use it as a library, or a module, or a function, or am I supposed to instantiate it in a module? 

Thanks,

Shainy

0 Kudos
sstrell
Honored Contributor III
1,053 Views

Simple instantiation.  The IP Parameter Editor (or older Megawizard Plug-In Manager) generate instantiation templates to help you instantiate the IP in your code.  Look for _inst files or, in the IP Parameter Editor, you can go to the Generate menu and look at the instantiation templates there.

0 Kudos
Shainy
Beginner
1,041 Views

Will try this. Thank you so much!

0 Kudos
CheePin_C_Intel
Employee
1,028 Views

Thanks @sstrell for the guidance.


@Shainy


Please feel free to keep us posted on your finding.


0 Kudos
CheePin_C_Intel
Employee
962 Views

Hi,


As I understand it, it has been some time since I last heard from you. This thread will be transitioned to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.



0 Kudos
Reply