Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16606 Discussions

VHDL syntax error at <name>_inst.vhd----

Altera_Forum
Honored Contributor II
1,505 Views

In QuartusII 7.1 (web) I have created a megawizard component <name>. When I compile the design it gives me a warning that it cannot analyse the file <name>_inst.vhd because it does not exist. After I return to the plug in manager and ask for the file to be created the compiler then gives me this error message:- 

 

Error (10500): VHDL syntax error at AND3x2_inst.vhd(1) near text "AND3x2_inst"; expecting "entity", or "architecture", or "use", or "library", or "package", or "configuration" 

 

Should I delete the new file and continue ignoring the warnings?
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
717 Views

Do not list <name>_inst.vhd at "Project --> Add/Remove Files in Project". 

 

As the last page of the MegaWizard says, this file is an instantiation template file. You can use its contents as a starting point for the instantiation in your own VHDL file. 

 

The <name>.cmp file is similar. You can copy its contents to your own VHDL file for the component declaration.
0 Kudos
Reply