Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16595 Discussions

Warning (332043): Overwriting existing clock: altera_reserved_tck

ctiger
Beginner
1,916 Views

I didn't have this warning until I add SDC file into my project. I have used derive_clock_uncertainty, write_sdc –expand command to get SDC file.

then re-compiled the project.

I got this warning and I have no clue what it's and how to solve it even I have searched internet.

 

here is something related to altera_reserved_tck in SDC file, not sure it helps or not.

create_clock -name {altera_reserved_tck} -period 100.000 -waveform { 0.000 50.000 } [get_ports {altera_reserved_tck}]

set_clock_uncertainty -rise_from [get_clocks {altera_reserved_tck}] -rise_to [get_clocks {altera_reserved_tck}] 0.020
set_clock_uncertainty -rise_from [get_clocks {altera_reserved_tck}] -fall_to [get_clocks {altera_reserved_tck}] 0.020
set_clock_uncertainty -fall_from [get_clocks {altera_reserved_tck}] -rise_to [get_clocks {altera_reserved_tck}] 0.020
set_clock_uncertainty -fall_from [get_clocks {altera_reserved_tck}] -fall_to [get_clocks {altera_reserved_tck}] 0.020

#**************************************************************
# Set Clock Groups
#**************************************************************

set_clock_groups -asynchronous -group [get_clocks {altera_reserved_tck}]
set_clock_groups -asynchronous -group [get_clocks {altera_reserved_tck}]
set_clock_groups -asynchronous -group [get_clocks {altera_reserved_tck}]
set_clock_groups -asynchronous -group [get_clocks {altera_reserved_tck}]
set_clock_groups -asynchronous -group [get_clocks {altera_reserved_tck}]

0 Kudos
1 Reply
SyafieqS
Moderator
1,876 Views

Hi Cai,


There is a KDB related to you issue. You may refer to link below

https://www.intel.com/content/www/us/en/programmable/support/support-resources/knowledge-base/solutions/rd07292010_637.html


Thanks,

Regards


0 Kudos
Reply