Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16593 Discussions

Waveform simulation generates no output

AmirSina
Beginner
5,735 Views

I installed Quartus Prime Lite 21.1.0.842 and Questa FPGA Starter 21.1.0.842 on Linux Mint 20.2.

I wanted to start by simulating a simple "AND2" circuit so created a project for MAX II device family and Questa Intel FPGA simulation.

AmirSina_0-1638958969582.png

After drawing and compiling this circuit, I created a University Program VWF, added pins and drew this waveform:

AmirSina_1-1638959081080.png

However, when I press "Run Functional Simulation", after some processes, a new window opens which shows the same waveform (out is still in unknown state).

There is no error during compile or simulation so what is the problem? I saw a same topic in this website but didn't understand the solution.

Project archive is attached.

0 Kudos
1 Solution
8 Replies
ShengN_Intel
Employee
5,714 Views

Hi AmirSina,

 

Modelsim version: Prime lite 17.1.

Quartus version: Prime Lite 21.1.0.842 and Questa FPGA Starter 21.1.0.842

ShengN_Intel_0-1639061655427.png

1. Make sure ModelSim path is correct. 

 

ShengN_Intel_1-1639062043433.png

ShengN_Intel_2-1639062120313.png

2. Make sure directories for Waveform.vwf and Waveform.vwf.vt are correct.

3. After above steps if still error, click 'Restore Defaults' button.

4. Finally, press "Run Functional Simulation" and result as below.

ShengN_Intel_3-1639062560925.png

 

Hope it helps.

 

Best Regards,
Sheng

p/s: If any answer from the community or Intel support are helpful, please feel free to give Kudos.

 

 

 

0 Kudos
AmirSina
Beginner
5,701 Views

I didn't install any version of ModelSim as I read in the download page that ModelSim is replaced with Questa FPGA.

AmirSina_0-1639139186954.png

All paths in Simulation Options are correct, just I removed "-novopt" from 5th line of ModelSim Script as it caused error.

The strange thing is Timing Simulation shows the result correctly, however Functional simulation shows unknown output.

0 Kudos
ShengN_Intel
Employee
5,696 Views

Hi AmirSina,

 

I see. So "-novopt" should not be removed in order for both Timing Simulation and Functional simulation to run properly. 

 

Best Regards,
Sheng


0 Kudos
AmirSina
Beginner
5,691 Views

But when I do not remove -novopt this error happens:

AmirSina_0-1639154031639.png

I read somewhere that I should replace it with "+acc". but the result of functional simulation is still unknown.

0 Kudos
ShengN_Intel
Employee
5,684 Views
0 Kudos
ShengN_Intel
Employee
5,664 Views

I’m glad that your question has been addressed, I now transition this thread to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.

0 Kudos
Sohan
Beginner
2,454 Views

I am also getting the same problem.

I am executing the multiplexer circuit. Can you please look into it.

I have tried everything. 

Sohan_1-1697982721707.png

This is the output waveform.

I am getting the output as expected.

Please Help me.

0 Kudos
Sohan
Beginner
2,452 Views

Thank you It got resolved.

0 Kudos
Reply