Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16595 Discussions

altera_lnsim.sv fails in version 10.5a of Questa compiler

Altera_Forum
Honored Contributor II
1,503 Views

in questa 10.0.c I have no problems, but when I simply change the questa version to 10.5a I get these altera_lnsim.sv errors 

# ** Error: QuestaSim-64 vlog 10.5a Compiler 2016.04 Apr 5 2016# Start time: 16:53:54 on Apr 16,2018# vlog -work work "+incdir+../tb_avalon+../../top/main/rtl/tcon/hdl+../tb_avalon" -v C:/intelFPGA/16.1/quartus/eda/sim_lib/altera_lnsim.sv -v C:/intelFPGA/16.1/quartus/eda/sim_lib/altera_mf.v -v C:/intelFPGA/16.1/quartus/eda/sim_lib/twentynm_atoms.v -v C:/intelFPGA/16.1/quartus/eda/sim_lib/mentor/twentynm_atoms_ncrypt.v ../hdl_for_sim/pclk_div3_pll_altera_iopll_161_qwie2fi.vo # -- Compiling module pclk_div3_pll_altera_iopll_161_qwie2fi# -- Scanning library file 'C:/intelFPGA/16.1/quartus/eda/sim_lib/altera_lnsim.sv'# ** Warning: (vlog-2176) C:/intelFPGA/16.1/quartus/eda/sim_lib/altera_lnsim.sv(4): Package declaration altera_lnsim_functions ignored during scan of library file.# ** Warning: (vlog-2176) C:/intelFPGA/16.1/quartus/eda/sim_lib/altera_lnsim.sv(1486): Package declaration altera_generic_pll_functions ignored during scan of library file.# -- Compiling module altera_iopll# -- Compiling module dps_pulse_gen_iopll# -- Compiling module twentynm_iopll_arlol# ** Warning: (vlog-2176) C:/intelFPGA/16.1/quartus/eda/sim_lib/altera_lnsim.sv(32217): Package declaration fourteennm_iopll_functions ignored during scan of library file.# -- Compiling module twentynm_iopll_ip# -- Compiling module altera_iopll_rotation_lcells# ** Error: (vlog-13069) C:/intelFPGA/16.1/quartus/eda/sim_lib/altera_lnsim.sv(34937): syntax error, unexpected "SystemVerilog keyword 'endpackage'", expecting class. 

 

 

 

 

Anyone else have this problem?
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
699 Views

Hi, 

Try to compile using “-sv” switch in vlog command. 

Can you post the code? 

 

 

Let me know if this has helped resolve the issue you are facing or if you need any further assistance. 

 

Best Regards 

Vikas Jathar  

Intel Customer Support – Engineering 

(Under Contract to Intel)
0 Kudos
Reply