Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16593 Discussions

automatic load code, compile, program from external code

Altera_Forum
Honored Contributor II
1,184 Views

Hi, 

 

I am using Quartus II and a Cyclone III device. 

I would like to be able to automatically load and compile a Verilog code, and then program the board without my intervention. I would like to control this process from an external software, so that after each time I program the board and before the next programing of it, I will have the external software run some tests on it. 

My goal is to repeat the process many times, each time loading a different Verilog code and then testing it.  

 

Is that possible? How do I do this? 

Could this be done from C, Python, Matlab? 

 

Thanks, 

Itamar.
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
377 Views

All of Quartus' compiling and programming functions are accessible from the command line. So, it should be very easy to develop a script to do all of this. A simple batch file would do, although Python or similar would do equally well. 

 

See the "quartus prime and quartus ii software scripting support (https://www.altera.com/support/support-resources/design-software/quartus-ii/sof-qts-scripting.html)" page and the "quartus ii scripting reference manual (https://www.altera.com/content/dam/altera-www/global/en_us/pdfs/literature/manual/tclscriptrefmnl.pdf)". 

 

Cheers, 

Alex
0 Kudos
Reply