Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16597 Discussions

can't find package ::quartus::stp

NuvKFC
New Contributor I
1,312 Views

When I use the command as follows in the quartus_sh, tool said that "can't find package ::quartus::stp". Do you know what's wrong here? But the document shows that stp is the one of package. Thank you.

 

Command:

    package require ::quartus::stp

 

Package: https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/manual/tclscriptrefmnl.pdf

 

NuvKFC_0-1624259546039.png

 

0 Kudos
1 Solution
Nurina
Employee
1,272 Views

Again you'll have to use quartus_stp executable instead of quartus_sh to do that.


Regards,

Nurina



View solution in original post

0 Kudos
7 Replies
Nurina
Employee
1,291 Views

Hi,


If you look at Table 3 of the documentation you shared, the package is only loaded on quartus_stp executable. So you'll have to use quartus_stp instead of quartus_sh.


Regards,

Nurina


0 Kudos
Nurina
Employee
1,290 Views

Hi,


If you look at Table 3 of the documentation you shared, the package is only loaded on quartus_stp executable. So you'll have to use quartus_stp executable instead of quartus_sh executable.


Regards,

Nurina


0 Kudos
NuvKFC
New Contributor I
1,283 Views

Hi NurinaW. I get it. Thank you very much. And I have one more question. Does there have any methods to load the stp package in quartus_sh because I want to use "open_session" command which is the part of quartus_stp? I had tried the command as follows picture, but it can't work.

 

Picture:

NuvKFC_0-1624358414763.png

 

This command causes an error.

NuvKFC_2-1624359270596.png

 

Thank you very much.

 

 

0 Kudos
Nurina
Employee
1,273 Views

Again you'll have to use quartus_stp executable instead of quartus_sh to do that.


Regards,

Nurina



0 Kudos
NuvKFC
New Contributor I
1,267 Views

Hi NurinaW, OK. I understand it. Thank you very much.

0 Kudos
Nurina
Employee
1,258 Views

You're welcome! I’m glad that your question has been addressed, I now transition this thread to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.

Regards,
Nurina

PS: If you find any comment from the community or Intel Support to be helpful, feel free to give Kudos.

NuvKFC
New Contributor I
1,252 Views

Hi NurinaW, OK. Really thank you very much. ^0^

0 Kudos
Reply