Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

clock division

Altera_Forum
Honored Contributor II
975 Views

Greetings everyone, I'm trying to divide the clock frequency by 2, 4, 8 for a (4x1 Multiplexer) in which the first input is the clock but the other three are the clock divided by the above numbers. Could anybody show me how to do it? I would be very grateful 

 

Regards
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
297 Views

Your code currently does nothing for several reasons: 

1. You are only trying to initialise the variables - they are not changing dynamically 

2. You cannot divide a single bit by anything. 

 

I suggest using a counter somewhere. 

I also suggest you do not use the clock any any logic equation, or generate a clock from logic. Doing this is very bad practice - you need to generate clock enables instead.
0 Kudos
Altera_Forum
Honored Contributor II
297 Views

Thank you for your advice. I will consider it thoroughly.

0 Kudos
Reply