Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16601 Discussions

converting behavioral to gate level

Altera_Forum
Honored Contributor II
913 Views

I want to convert my behavioral VHDL description to its gate level one. How can I do this using Quartus II? 

 

Regards
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
237 Views

See the response to the duplicate thread at http://www.alteraforum.com/forum/showthread.php?p=19305.

0 Kudos
Reply