Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16610 Discussions

delay a signal by several clk cycles in verilog

Altera_Forum
Honored Contributor II
974 Views

Hi, 

Is there anyone knows an easier way to delay a signal by several clk cycles in verilog  

 

I was trying to use repeat as below, however, it only works when I run RTL simulation, it will not work when I run gate level simulation. 

 

data_out <= repeat (15) @(posedge clk ) data; 

 

Thanks!!
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
231 Views

 

--- Quote Start ---  

Hi, 

Is there anyone knows an easier way to delay a signal by several clk cycles in verilog  

 

I was trying to use repeat as below, however, it only works when I run RTL simulation, it will not work when I run gate level simulation. 

 

data_out <= repeat (15) @(posedge clk ) data; 

 

Thanks!! 

--- Quote End ---  

 

 

It's called a SHIFT REGISTER. Google: shift register verilog code
0 Kudos
Reply