Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16605 Discussions

difference between `include and include

Altera_Forum
Honored Contributor II
1,801 Views

It seems ppl use `include to add file that is required to instantiate component in verilog, but when I use `include in my testbench, a warning is issued after successful compile: ** Warning: E:/big_project/tb_fsm_sorter_receiving.v(1): (vlog-2283) Extra semicolon in $unit (global) scope. However if I use include instead of `include then everything work fine.  

 

Why does this happen? Which one should I use in verilog, `include or include ? 

 

Thanks guys!:)
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
870 Views

`include doesnt require a ; at the end

0 Kudos
Reply