Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

fitter failing

APPU_appu
New Contributor I
572 Views

Hi @Altera_Forum  @Member__Forum  @JohnT_Intel 

we are facing issue while compiling project in quartus tool, @fitter stage it is stop compling and showing this error

Problem Details
Error:
Internal Error: Sub-system: ATCL, File: /quartus/ccl/atcl/atcl_root.cpp, Line: 1022
-------------------------------------
Error found while sourcing: c:/intelfpga_pro/20.1/quartus/common/tcl/internal/init/eco_fitter.pkg_info
-------------------------------------
ERROR: Can't load library: c:\intelfpga_pro\20.1\quartus\bin64\fitter_fdrgn.dll. The operating system reports the following error: The specified module could not be found.


Stack Trace:
Quartus 0x536d: err_report_internal_error + 0x2d (CCL_ERR)
Quartus 0x102f3: atcl_initialize_pkg_info + 0x4d3 (ccl_atcl)
Quartus 0x1111a: atcl_initialize_quartus_interpreter + 0xaa (ccl_atcl)
Quartus 0xfc12: atcl_get_interpreter + 0x42 (ccl_atcl)
Quartus 0x31816: ACF_MANAGER_STORAGE_REAL::ACF_MANAGER_STORAGE_REAL + 0x606 (db_acf)
Quartus 0x26a8d: ACF_MANAGER::internal_open_create_project + 0x7bd (db_acf)
Quartus 0x2844d: ACF_MANAGER::open_project + 0x7bd (db_acf)
Quartus 0xd3884: QHD_ROOT_IMPL::open_acf_if_needed + 0xc4 (comp_qhd)
Quartus 0xd277a: QHD_ROOT_IMPL::initialize + 0x11a (comp_qhd)
Quartus 0x188f3: QCU::DETAIL::initialise_qhd + 0xb3 (comp_qcu)
Quartus 0x18aa8: QCU::DETAIL::initialise_qhd_and_perform_checks + 0x98 (comp_qcu)
Quartus 0x18bfc: QCU::DETAIL::intialise_qhd_and_run_qexe + 0x6c (comp_qcu)
Quartus 0x2b0e2: qcu_run_tcl_option + 0x2f2 (comp_qcu)
Quartus 0x1a5b0: qexe_run + 0x460 (comp_qexe)
Quartus 0x1b6ea: qexe_standard_main + 0x26a (comp_qexe)
Quartus 0x2052: qfit2_main + 0x82 (quartus_fit)
Quartus 0x158d8: msg_main_thread + 0x18 (CCL_MSG)
Quartus 0x16f81: msg_thread_wrapper + 0x71 (CCL_MSG)
Quartus 0x21040: mem_thread_wrapper + 0x70 (ccl_mem)
Quartus 0x14e5d: msg_exe_main + 0x20d (CCL_MSG)
Quartus 0x2978: __scrt_common_main_seh + 0x11c (quartus_fit)
Quartus 0x17033: BaseThreadInitThunk + 0x13 (KERNEL32)
Quartus 0x52650: RtlUserThreadStart + 0x20 (ntdll)

End-trace


Executable: qpro
Comment:
None

System Information
Platform: windows64
OS name: Windows 10
OS version: 10.0

Quartus Prime Information
Address bits: 64
Version: 20.1.0
Build: 177
Edition: Pro Edition

0 Kudos
3 Replies
Nurina
Employee
560 Views

Hi,


Can you provide your .qar file so I can reproduce your problem? Go to Project->Archive Project..


Regards,

Nurina


0 Kudos
APPU_appu
New Contributor I
554 Views

Hi Thank for you reply.

for the above error we need to update visual studio

I updated the visual-c-2013-redistributable-package using below link " https://support.microsoft.com/en-us/topic/update-for-visual-c-2013-redistributable-package-d8ccd6a5-4e26-c290-517b-8da6cfdf4f10 " in this click on english united states and update.

0 Kudos
Nurina
Employee
546 Views

Hi,

I’m glad that your problem has been solved! I now transition this thread to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.

Regards,
Nurina

PS: If you find any comment from the community or Intel Support to be helpful, feel free to give Kudos.

0 Kudos
Reply