Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16592 Discussions

how do i set quartus to compile VHDL to 2008, tool can't find library float_pkg

JSLY
Novice
1,073 Views

I am using quartus prime lite v 21.1 and trying to compile / synthesize and get error 

10481 VHDL use Clause error: design library "ieee" does not contain primary unit "float_pkg"

 

If i look at the directory structure, these files do exist at :

C:\intelFPGA_lite\21.1\quartus\libraries\vhdl\ieee\2008

 

I tried to set this up in quartus doing tools->options->general->librairies  

 

but this did not help anything,  where do i go or how do i set up quartus to point to or use the vhdl 2008 libraries?

0 Kudos
4 Replies
sstrell
Honored Contributor III
1,035 Views

VHDL2008 support is limited in the Lite edition.  That might be what you are running into.

0 Kudos
RichardTanSY_Intel
1,022 Views

Reference from KDB:

https://www.intel.com/content/www/us/en/support/programmable/articles/000079430.html

Unfortunately, IEEE fixed_pkg and float_pkg libraries are not supported in the Quartus® Prime Standard edition and Lite edition.


0 Kudos
RichardTanSY_Intel
1,022 Views

I believe that your question has been addressed. With that, I will now transition this thread to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.


Best Regards,

Richard Tan


p/s: If any answer from the community or Intel support are helpful, please feel free to give Kudos. 


0 Kudos
leonardo_forum
New Contributor I
996 Views

Hello,


You can add the VHDL-2008 Support Library to your project (files fixed_pkg_c.vhdl and fixed_float_types_c.vhdl) and add this declaration:

 

library ieee_proposed;
use ieee_proposed.fixed_pkg.all;

 

The library is available at https://github.com/FPHDL/fphdl

 

Cheers

0 Kudos
Reply