Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

how to synthesis SV file?

Altera_Forum
Honored Contributor II
1,973 Views

Hi there, 

 

I was trying to synthesis a SystemVerilog HDL module by using Altera Quartus II and found out that the HDL option does not contain SystemVerilog.  

Do anyone know how to synthesis SV module with Altera Quartus II? Please leave me a message. Thanks a lot for the help.  

 

SHL
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
1,068 Views

Below is what I was told. I don't know the first Quartus version for which this applies. 

 

Quartus automatically recognizes files with an .sv extension as SystemVerilog design files. 

 

To process regular Verilog design files using SystemVerilog-2005, select SystemVerilog project wide or for an individual file. 

 

Project wide: Assignments --> Settings --> Analysis & Synthesis Settings --> Verilog HDL Input --> Verilog version --> SystemVerilog-2005 

 

Individual file: Assignments --> Settings --> Files, select the .v file, click Properties, set Type to Verilog HDL File and HDL version to SystemVerilog_2005.
0 Kudos
Reply