Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16596 Discussions

keeping signals for signaltap

nicoh
Beginner
860 Views

Hi,

 

I'm using Quartus prime lite edition (language VHDL).

how can I "keep" signals so they appear in the siganltap search? 

0 Kudos
1 Solution
ShengN_Intel
Employee
792 Views

Hi,


May be can try with attribute noprune check this https://www.intel.com/content/www/us/en/programmable/quartushelp/17.0/hdl/vhdl/vhdl_file_dir_noprune.htm, adding virtual pins in the Assignment Editor or use "pre-synthesis" filter in SignalTap's node selector and tapped the signal inside a "component" rather than in the top-entity where it is generated.


Thanks,

Best regards,

Sheng


p/s: If any answer from the community or Intel Support are helpful, please feel free to give best answer or rate 4/5 survey.


View solution in original post

0 Kudos
5 Replies
sstrell
Honored Contributor III
844 Views

If you want to preserve signals to tap as post-fit signals, you can use the "keep" and/or "preserve" synthesis attributes in your HDL code.  There is a preserve for debug feature that uses project assignments, but that's only in the Pro edition of the tool.  You can also just tap the signals pre-synthesis, basically tapping the signals directly from your RTL code.

0 Kudos
nicoh
Beginner
831 Views

I tried the "keep" and/or "preserve" (I always look for pre-synthesis) and doesn't work.. 

0 Kudos
sstrell
Honored Contributor III
827 Views
What exactly are you trying to tap?
0 Kudos
nicoh
Beginner
825 Views

some internal signals in my project (flag, counter, etc) 

0 Kudos
ShengN_Intel
Employee
793 Views

Hi,


May be can try with attribute noprune check this https://www.intel.com/content/www/us/en/programmable/quartushelp/17.0/hdl/vhdl/vhdl_file_dir_noprune.htm, adding virtual pins in the Assignment Editor or use "pre-synthesis" filter in SignalTap's node selector and tapped the signal inside a "component" rather than in the top-entity where it is generated.


Thanks,

Best regards,

Sheng


p/s: If any answer from the community or Intel Support are helpful, please feel free to give best answer or rate 4/5 survey.


0 Kudos
Reply