Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16597 Discussions

loading sta package in tcl script with quartus_sh

anrfpga
Novice
950 Views

Hello everyone,

 

I am trying to load the sta package in a tcl script to set all pins to virtual using the script (make_all_pins_virtual) provided here: https://www.intel.com/content/www/us/en/support/programmable/support-resources/design-examples/quartus/all-virtual-pins.html?wapkw=make_all_pins_virtual

 

I noticed that the timing and advanced_timing packages are no longer available but the same procedures are available under the sta package, so I replaced the following two lines

load_package timing
load_package advanced_timing

with

load_package sta

However, I could not load the library as I am getting the following error. I am able to load the flow, project and report packages successfully though.

Error (23035): Tcl error: ERROR: Quartus Prime Tcl package "::quartus::sta" is only available for use in the following executables:
        quartus_fit
        quartus_sta

So is the sta package not usable using quartus_sh executable? Is there any alternative to this? Or am I missing something?

 

Any help is appreciated. Let me know if any more information is required

0 Kudos
1 Solution
harnhua
Novice
925 Views

Usually when I encounter such errors, I run the Tcl script with the 'quartus_sta' executable instead, like this:

 

quartus_sta -t my_script.tcl

 

Can you try this and see if it works?

 

- hh

View solution in original post

0 Kudos
5 Replies
sstrell
Honored Contributor III
942 Views

quartus_sh is just for running Quartus in a shell, including basic tasks like running scripts.  The sta Tcl package is loaded automatically when you run the Fitter or timing analyzer executables, as noted in the error you got.

See the command line and Tcl help for details.  You can access it easily in the GUI by opening the Tcl console from the View menu and then clicking the little ? icon.

0 Kudos
anrfpga
Novice
934 Views

Thanks for your reply.

to clarify, these tcl design examples (https://www.intel.com/content/www/us/en/support/programmable/support-resources/design-examples/quartus/tcl.html) are meant to be run only from the quartus GUI tcl console?

0 Kudos
harnhua
Novice
926 Views

Usually when I encounter such errors, I run the Tcl script with the 'quartus_sta' executable instead, like this:

 

quartus_sta -t my_script.tcl

 

Can you try this and see if it works?

 

- hh

0 Kudos
anrfpga
Novice
921 Views

That works. Thank you. I just moved from vivado to quartus for a new project and I was assuming quartus_sh can do everything that the quartus GUI can do similar to the vivado non-project mode.

0 Kudos
harnhua
Novice
917 Views
Great to hear that it is working now. Like you, I use both tools and have to get used to their differences too.

Best,
- hh
0 Kudos
Reply