Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

modelsim error 211

Altera_Forum
Honored Contributor II
13,989 Views

We have various versions of Quartus and modelsim (all the free web versions, including 15.1 downloaded yesterday) installed on several PCs and modelsim was working until recently, however on all PCs, versions and designs it now gives error 211 and closes after a few seconds. There is nothing in the modelsim transcript file about the error. I have searched the forum and googled and the only thing I can find are references to license issues, however this is all with the free version. Here is the last entry in the transcript file:# vcom -2008 -work work {C:/projects/FPGAs/GEP/system keith 15Oct15/228-603-01-issB/Design Files/AXES/tbMOVING_AVERAGE_FOR_ADS8332.vhd}# Model Technology ModelSim ALTERA vcom 10.3c Compiler 2014.09 Sep 20 2014# Start time: 10:00:24 on Dec 01,2015# vcom -reportprogress 300 -2008 -work work C:/projects/FPGAs/GEP/system keith 15Oct15/228-603-01-issB/Design Files/AXES/tbMOVING_AVERAGE_FOR_ADS8332.vhd # -- Loading package STANDARD# -- Loading package TEXTIO# -- Loading package std_logic_1164# -- Loading package MATH_REAL# -- Loading package NUMERIC_STD# -- Loading package AVERAGE_PACKAGE# -- Compiling entity tbMOVING_AVERAGE_FOR_ADS8332# -- Compiling architecture tbMOVING_AVERAGE_FOR_ADS8332_arch of tbMOVING_AVERAGE_FOR_ADS8332# End time: 10:00:25 on Dec 01,2015, Elapsed time: 0:00:01# Errors: 0, Warnings: 0# # vsim -t 1ps -L altera -L lpm -L sgate -L altera_mf -L altera_lnsim -L cycloneive -L rtl_work -L work -voptargs="+acc" tbMOVING_AVERAGE_FOR_ADS8332# vsim "+altera" -l msim_transcript -do "228-603-01-issB_run_msim_rtl_vhdl.do" # Start time: 10:00:26 on Dec 01,2015# Loading std.standard# Loading std.textio(body)# Loading ieee.std_logic_1164(body)# Loading ieee.math_real(body)# Loading ieee.numeric_std(body)# Loading work.average_package(body)# Loading work.tbmoving_average_for_ads8332(tbmoving_average_for_ads8332_arch)# Loading work.moving_average_for_ads8332(behaviour)# Loading work.moving_averager(rtl)

0 Kudos
22 Replies
Altera_Forum
Honored Contributor II
8,687 Views

The Modelsim Reference Manual Lists all of the exit codes 

 

 

--- Quote Start ---  

 

211 Segmentation violation (SIGSEGV) 

 

--- Quote End ---  

 

 

Basically, Modelsim has crashed. 

It is probably something in your code causing the crash (when it shouldnt). 

 

I see you are compiling with the -2008 vhdl switch. 

Any chance you can post all the code here so we can try? 

Otherwise you'll need to raise a ticket with Mentor (and you wont see an update until altera issue a new version).
0 Kudos
Altera_Forum
Honored Contributor II
8,687 Views

 

--- Quote Start ---  

The Modelsim Reference Manual Lists all of the exit codes 

 

 

 

Basically, Modelsim has crashed. 

It is probably something in your code causing the crash (when it shouldnt). 

 

I see you are compiling with the -2008 vhdl switch. 

Any chance you can post all the code here so we can try? 

Otherwise you'll need to raise a ticket with Mentor (and you wont see an update until altera issue a new version). 

--- Quote End ---  

 

 

 

Tricky thanks for the quick reply but I have tested files which I know worked before. I have zipped an example project to a zip folder but the attachments function will not let me load it.
0 Kudos
Altera_Forum
Honored Contributor II
8,687 Views

I think you need a certain number of posts before you can post attachments. Try putting it on google drive or dropbox or similar.

0 Kudos
Altera_Forum
Honored Contributor II
8,687 Views

 

--- Quote Start ---  

I think you need a certain number of posts before you can post attachments. Try putting it on google drive or dropbox or similar. 

--- Quote End ---  

 

 

Project is in the attached dropbox link, so I can attach txt not a zipped folder but can't paste! tb_IOTEST is a test bench for block IOTEST. Thanks.
0 Kudos
Altera_Forum
Honored Contributor II
8,687 Views

tb_IOTEST ran just fine for me.  

 

Some notes: 

1. GPIO_0 and GPIO_1 bits 7:4 never get assigned a value. 

2. You are using both edges of the clock, which is illegal inside an FPGA.
0 Kudos
Altera_Forum
Honored Contributor II
8,687 Views

Yes it used to run fine for me. I know it is not good code but it was a very small design so easy to send. So why are all our copies of Modelsim crashing? Are there any tests I can do?

0 Kudos
Altera_Forum
Honored Contributor II
8,687 Views

How are you loading the code? it is using tcl scripts, a modelsim project or via native link?

0 Kudos
Altera_Forum
Honored Contributor II
8,687 Views

Tried starting Modelsim from Quartus automatically at the end of compile, from Quartus tools>run simulation tool and also running Modelsim with a tcl script.

0 Kudos
Altera_Forum
Honored Contributor II
8,687 Views

what is in the tcl script? I manual compiled just iotest.vhdl and tb_iotest.vhd and there were no problems. Are there extra files in the tcl script that shouldnt be there? Are there non-hdl files in the script? have you got some files renamed to have a .vhdl extension that shouldnt? 

 

I notice there are bdf files - are you trying to simulate these? have you converted them to HDL first?
0 Kudos
Altera_Forum
Honored Contributor II
8,687 Views

You can see from the transcript file in my 1st post which is for a different design but is up to the point where it crashed when run from Quartus. We are not trying to simulate bdfs. The whole project folder was in the zip, there are only 3 vhdl files inc the test bench.  

When you say you manually compiled how did you do this?
0 Kudos
Altera_Forum
Honored Contributor II
8,687 Views

Your original transcript has something called tbMOVING_AVERAGE_FOR_ADS8332 which doesnt exist in the project you posted. 

 

To compile/run the sim, load modelsim. 

In the transcript, navigate to the working folder 

 

type: 

vlib work 

vcom <file1> 

vcom <file2> 

vsim <tb_toplevel> 

 

replace <file1>/<file2>/<tb_toplevel> with appropriate file names.
0 Kudos
Altera_Forum
Honored Contributor II
8,687 Views

Try it with the files in a directory with a shorter name and no spaces in the name, say C:\sim\iotest. Install modelsim in eg C:\modelsim. It shouldn't make a difference except that sometimes it does.

0 Kudos
Altera_Forum
Honored Contributor II
8,687 Views

 

--- Quote Start ---  

Try it with the files in a directory with a shorter name and no spaces in the name, say C:\sim\iotest. Install modelsim in eg C:\modelsim. It shouldn't make a difference except that sometimes it does. 

--- Quote End ---  

 

 

Tricky/Galfonz I installed the 15.1 version of Modelsim in C: and followed the instructions but still get the same error, see transcript below and screen shot (I tried to add the screen shot with insert image but not sure it worked, this I/f seems a bit flaky, the first time I dragged the image onto the insert window and it locked the browser page): 

https://www.alteraforum.com/forum/attachment.php?attachmentid=11544  

# Reading C:/Modelsim/modelsim_ase/tcl/vsim/pref.tcl 

cd /temp/DE0_NANO 

vlib work 

# ** Warning: (vlib-34) Library already exists at "work". 

#  

vcom IOTEST.vhdl 

# Model Technology ModelSim ALTERA vcom 10.4b Compiler 2015.05 May 27 2015 

# Start time: 09:54:04 on Dec 02,2015 

# vcom -reportprogress 300 IOTEST.vhdl  

# -- Loading package STANDARD 

# -- Loading package TEXTIO 

# -- Loading package std_logic_1164 

# -- Loading package NUMERIC_STD 

# -- Compiling entity IOTEST 

# -- Compiling architecture RTL of IOTEST 

# End time: 09:54:04 on Dec 02,2015, Elapsed time: 0:00:00 

# Errors: 0, Warnings: 0 

vcom tb_IOTEST.vhdl 

# Model Technology ModelSim ALTERA vcom 10.4b Compiler 2015.05 May 27 2015 

# Start time: 09:54:46 on Dec 02,2015 

# vcom -reportprogress 300 tb_IOTEST.vhdl  

# -- Loading package STANDARD 

# -- Loading package TEXTIO 

# -- Loading package std_logic_1164 

# -- Loading package NUMERIC_STD 

# -- Compiling entity tb_IOTEST 

# -- Compiling architecture RTL of tb_IOTEST 

# End time: 09:54:46 on Dec 02,2015, Elapsed time: 0:00:00 

# Errors: 0, Warnings: 0 

vsim tb_IOTEST 

# vsim tb_IOTEST  

# Start time: 09:55:05 on Dec 02,2015 

# Loading std.standard 

# Loading std.textio(body) 

# Loading ieee.std_logic_1164(body) 

# Loading ieee.numeric_std(body) 

# Loading work.tb_iotest(rtl) 

# Loading work.iotest(rtl)
0 Kudos
Altera_Forum
Honored Contributor II
8,687 Views

Tricky, to answer your question about tbMOVING_AVERAGE_FOR_ADS8332 the original transcript was from our real design which is too large to post so the one I posted (IOTEST) is a very small design which still shows the problem. I cannot see the detail in the screen shot so I will try again.https://www.alteraforum.com/forum/attachment.php?attachmentid=11545

0 Kudos
Altera_Forum
Honored Contributor II
8,687 Views

It seem strange, as it is all ok for me. 

 

try copying to code to a different folder where there is no exitisting work library and try again. With your current attemp the work library has already been set up and may be whats causing the crash. If it still crashes in a fresh folder, then I assume its a problem with your system as it is ok here (and the fact it crashes on multiple versions) 

 

You can delete the work library with: 

 

vdel work
0 Kudos
Altera_Forum
Honored Contributor II
8,687 Views

delete is not working: 

# Reading C:/Modelsim/modelsim_ase/tcl/vsim/pref.tcl 

cd /temp/DE0_NANO 

vdel work 

# ** Error: (vdel-11) Could not find work.work. 

#  

# C:/Modelsim/modelsim_ase/win32aloem/vdel failed. 

vlib work 

# ** Warning: (vlib-34) Library already exists at "work". 

#  

vdel work 

# ** Error: (vdel-11) Could not find work.work. 

#  

# C:/Modelsim/modelsim_ase/win32aloem/vdel failed.
0 Kudos
Altera_Forum
Honored Contributor II
8,687 Views

thats because you havent navigated to the project folder.

0 Kudos
Altera_Forum
Honored Contributor II
8,687 Views

I have deleted the work folder from Windows then done  

vlib work 

vcom -work work<file1> 

vcom -work work<file2> 

vsim <tb_toplevel> 

 

It still crashes with the same error. 

I now plan to install Modelsim on a clean virtual machine and repeat the test.
0 Kudos
Altera_Forum
Honored Contributor II
8,687 Views

It works on the clean win 7 64 install so now installing Symantec AV to see if this is the problem.

0 Kudos
Altera_Forum
Honored Contributor II
8,297 Views

If you do work it out, its probably worth opening a case with mentor.

0 Kudos
Reply