Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16598 Discussions

output forced unknown

Altera_Forum
Honored Contributor II
1,245 Views

I am very rusty in VHDL and I have the following problem. I am testing to inputs for '1' and if either one of them is high I assign the output a '1'. However when I do this the output is always 'X'. I am sure I do something absolutely stupid, but I can't figure it out. Sorry for such a trvial problem. 

Here is the screen shot 

 

http://www.alteraforum.com/forum/attachment.php?attachmentid=13101&stc=1  

 

And here are the wto codes, the source file and the testbench
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
460 Views

Hi pabloemma, 

 

In line 66 of test_timeTB.vhd, process "init" drives "00" to "F_DOUT_L". So if now your instance of test_time tries to drive anything other than zeros to that signal, you get a conflict, which resolves to an 'X'. 

 

Remove line 66 and it should be fine. 

 

 

Best regards, 

GooGooCluster
0 Kudos
Altera_Forum
Honored Contributor II
460 Views

Thanks, sorry it took a while to respond. I am on travel. 

andi
0 Kudos
Reply